Welcome![Sign In][Sign Up]
Location:
Search - FPGA LMS

Search list

[Other resourcezishiyinglvbodebiyesheji

Description: 论文针对数字通信系统中,由于码间串扰(ISI)和信道加性噪声的干扰,导致信号在接收端产生误码,设计了基于LMS算法的自适应均衡器(滤波器),并通过硬件描述语言VHDL和现场可编程逻辑器件FPGA实现均衡器的硬件实现。是一篇标准的毕业论文,有需要的朋友可以拿来做参考
Platform: | Size: 2353003 | Author: YZ | Hits:

[VHDL-FPGA-VerilogFPGA_LMS

Description: VHDL写的LMS算法程序。利用本地正弦信号,根据LMS算法对输入信号进行跟踪。用以产生和输入信号同频同相的本地信号。-VHDL LMS algorithm written procedures. The use of local sinusoidal signal, according to the LMS algorithm for tracking the input signal. Used to produce and the input signal with frequency phase with the local signal.
Platform: | Size: 270336 | Author: 黄鹤 | Hits:

[Speech/Voice recognition/combineLMSjiangzao

Description: LMS多麦克风语音降噪的主程序是lmsspdn.m-Multi-microphone noise reduction LMS voice is the main program lmsspdn.m
Platform: | Size: 1693696 | Author: 夏天 | Hits:

[Otherzishiyinglvbodebiyesheji

Description: 论文针对数字通信系统中,由于码间串扰(ISI)和信道加性噪声的干扰,导致信号在接收端产生误码,设计了基于LMS算法的自适应均衡器(滤波器),并通过硬件描述语言VHDL和现场可编程逻辑器件FPGA实现均衡器的硬件实现。是一篇标准的毕业论文,有需要的朋友可以拿来做参考-Thesis for digital communications systems, crosstalk due to inter-symbol (ISI) and additive noise channel interference, leading to signals generated in the receiver error, design algorithm based on LMS adaptive equalizer (filter), and through hardware description languages VHDL and Field Programmable Logic Device FPGA hardware equalizer realize realize. Is a standard thesis, there is a need to make friends can be used as reference
Platform: | Size: 2353152 | Author: YZ | Hits:

[VHDL-FPGA-Verilog05805

Description: 无线通信fpga设计matlab、verilog代码
Platform: | Size: 205824 | Author: zhangxi | Hits:

[Speech/Voice recognition/combinelms

Description: 自适应LMS算法。由于我要使用,所以将信源信号及加澡后的信源信号保存起来。方便在FPGA设计里使用。-Adaptive LMS algorithm. Because I would like to use, so the source signal and add a noise after the shower saved the source signal. Designed to use in the FPGA.
Platform: | Size: 1024 | Author: xiaoLEE | Hits:

[VHDL-FPGA-Verilogfir_lms

Description: 基于FPGA的自适应滤波器的实现。采用Verilog编程,2阶滤波器。-FPGA-based realization of the adaptive filter. Using Verilog programming, 2-order filter.
Platform: | Size: 12288 | Author: 田文军 | Hits:

[VHDL-FPGA-VerilogLMS_filter

Description: verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
Platform: | Size: 350208 | Author: rayax | Hits:

[Otherfft_block_lms

Description: 块长度为512的频域块复数LMS算法的FPGA实现代码-512_block LMS
Platform: | Size: 11264 | Author: 白健 | Hits:

[OtherFPGA_LMS

Description: 介绍了基于FPGA的LMS算法实现,主要介绍了LMS算法的基本原理,实现基于FPGA实现LMS算法的原理框图-the acomplishiment of LMS in FPGA
Platform: | Size: 268288 | Author: ma li | Hits:

[VHDL-FPGA-Verilogrs232

Description: FPGA 数字滤波算法 资料,自己可以设计等LMS 算法-FPGA Digital Filter Algorithm for information, they can design LMS algorithm
Platform: | Size: 59392 | Author: suupy | Hits:

[matlabnlpf

Description: This simple Matlab function simulates a innovative algorithm for narrow band interference mitigation for wireless communications, esp for satellite comm. The algorithm looks similar to LMS, but error is non-linearly transformed. It works well and a hardware demonstration was successfully fulfilled on Altera FPGA development platform. More details on algorithm refers to "Nonlinear Techniques for Interference Suppression in Spread Spectrum Systems" by R. Vijayan.
Platform: | Size: 1024 | Author: 徐滨 | Hits:

[VHDL-FPGA-Veriloglms

Description: 文件中为lms算法的ise工程,其中包含了lms算法的fpga实现的verilog程序以及testbench,很好的在FPGA上实现了lms算法,还有一些调试程序的总结-Ise project file for lms algorithm, which contains the lms algorithm fpga verilog program to achieve and testbench good lms algorithm implemented on FPGA debugger summary
Platform: | Size: 2805760 | Author: 黄远望 | Hits:

[assembly languagefir6dlms

Description: FPGA实现LMs算法的,自己找到的哦 狠辛苦的哦 希望大家喜欢哦-Hope you like FPGA achieve LMs algorithm, and find yourself hard hard Oh
Platform: | Size: 1024 | Author: 叶良伟 | Hits:

[matlabLMS--FPGA

Description: The simulink model of Back propagation Algorithm using an Adaptative Filter.
Platform: | Size: 7168 | Author: Praveen | Hits:

[Com Portlms

Description: 无线通信中的LMS算法的硬件实现FPGA-Wireless Communications FPGA hardware implementation of LMS algorithm
Platform: | Size: 1024 | Author: 何晨光 | Hits:

[Software Engineeringfpga_lms

Description: 该文章主要介绍如何使用FPGA设计LMS自适应滤波算法-The article mainly introduces how to use the FPGA design LMS adaptive filtering algorithm
Platform: | Size: 1178624 | Author: wangqian | Hits:

[Otherlms

Description: lms fpga 设计,verilog 语言编写(lms fpga designed with verilog)
Platform: | Size: 13312 | Author: ouyxj | Hits:

[VHDL-FPGA-VerilogLMS_filter_Altera

Description: 2017电子竞赛e题软件部分,fpga实现(lms adaptive filter undergraduate electronic design contest)
Platform: | Size: 10816512 | Author: 史-诗 | Hits:

[VHDL-FPGA-VerilogE7_3

Description: 对基于符号LMS算法的自适应均衡器进行仿真。要求分别进行算法的性能仿真、生成FPGA测试用的输入信号、仿真权值在运算过程中的数据范围(The adaptive equalizer based on the symbol LMS algorithm is simulated. The performance simulation of the algorithm is required, the input signal for FPGA test is generated, and the data range of simulation weight in the operation process is required.)
Platform: | Size: 950272 | Author: SEXYLADY | Hits:
« 12 »

CodeBus www.codebus.net