Welcome![Sign In][Sign Up]
Location:
Search - FPGA BPsk

Search list

[Other基于FPGA的BPSK调制解调器设计

Description: 基于FPGA的BPSK调制解调器设计
Platform: | Size: 219743 | Author: smaomil | Hits:

[VHDL-FPGA-Verilog4dpsk

Description: 4dbpsk系统的设计实现源码,几个朋友用一个假期的时间协作完成,功能非常好-The 4dbpsk system design realization source code, several friends complete it cooperation in one vacation time , the function is extremely good
Platform: | Size: 2048 | Author: pinksmile | Hits:

[VHDL-FPGA-Verilogbpsk

Description: 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
Platform: | Size: 432128 | Author: 李博 | Hits:

[VHDL-FPGA-VerilogBPSK_Modulator

Description: IMPLEMENTATION OF BPSK MODULATOR IN FPGA
Platform: | Size: 412672 | Author: hung | Hits:

[VHDL-FPGA-Verilogbpsk_fpga

Description: 在FPGA上实现BPSK信号的解调,全部用VHDL语言编写,非常实用。-Implemented on the FPGA BPSK signal demodulation, all with the VHDL language, very useful.
Platform: | Size: 388096 | Author: jiaojian | Hits:

[VHDL-FPGA-Verilog1

Description: 基于fpga的bpsk实现 module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle //载波相位 input clk,clr input M,EN //M为 -vhdl bpsk fpga dpsk module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle //载波相位 input clk,clr input M,EN //M为
Platform: | Size: 94208 | Author: yanchao | Hits:

[Linux-UnixXilinx-FPGA-Matlab-Simulate

Description: 这是Matlab实现的非常简单的数字信号调制仿真,用于Xilinx FPGA(ASK, BPSK, FSK, OOK, QPSK)-Matlab is very simple simulation of digital signal modulation for Xilinx FPGAs (ASK, BPSK, FSK, OOK, QPSK)
Platform: | Size: 229376 | Author: 罗生 | Hits:

[VHDL-FPGA-Verilogad9850

Description: 介绍了用FPGA控制DDS产生任意频率范围之内的可调制正弦波,13位BPSK,ASK等。控制字由串口写入。-verilog control AD9850 to get psk ask
Platform: | Size: 1415168 | Author: chen | Hits:

[VHDL-FPGA-VerilogDDS

Description: 在FPGA里面实现DDS的功能,输出正弦、三角波、方波、FSK/ASK/BPSK调制波等-Inside the FPGA realization of DDS function, the output sine, triangle wave, square wave, FSK/ASK/BPSK modulation wave
Platform: | Size: 1867776 | Author: sanxing | Hits:

[VHDL-FPGA-Verilogfpga1

Description: HF 14443 RFID读写器FPGA代码,实现读卡器和标签模拟功能,通信速率106Kbps,使用xilinx 飓风二FPGA,miller解码,bpsk编码-HF 14443 RFID reader FPGA code reader and tag simulation capabilities to achieve
Platform: | Size: 48128 | Author: 徐杰 | Hits:

[VHDL-FPGA-VerilogBPSK

Description: FPGA实现BPSK调制,带Modelsim仿真,实际系统测试通过,载波信号,调制波信号频率可调-FPGA implementation BPSK modulation with Modelsim simulation, the actual system test, the carrier signal, modulated wave signal frequency adjustable
Platform: | Size: 1085440 | Author: 王佳兴 | Hits:

[VHDL-FPGA-Verilogook

Description: FPGA自己编的BPSK,实现OOK 有电路搭建,容易理解-FPGA Own BPSK, OOK realize the circuit to build, easy to understand
Platform: | Size: 7279616 | Author: zanesachem | Hits:

[Booksryan_reed_thesis

Description: BPSK 收发器实现论文,基于Xilinx FPGA(Implementation of a BPSK Transceiver)
Platform: | Size: 1931264 | Author: doodoodoo | Hits:

[VHDL-FPGA-Verilogdemodulation

Description: 基于verilog HDL的BPSK解调的FPGA实现,仿真结果验证良好。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684BPSK u89E3 u8C03 u7684FPGA u5B9E u73B0 uFF0C u4EFF u771F u7ED3 u679C u9A8C u8BC1 u826F u597D u3002IDE u4E3Avivado 2014)
Platform: | Size: 131072 | Author: 涛2017777 | Hits:

[VHDL-FPGA-VerilogBPSK

Description: 先用Matlab理论仿真,得出滤波器系数。再用Verilog语言在ISE环境下编写程序,通过Modelsim和ChipScope进行波形仿真和引号抓取,从而提高调试的效率。通过手机发送指令来控制上下变频器的参数。(Firstly, the filter coefficients are obtained by simulation with the theory of matlab. Then the program is written in Verilog language under ISE environment. Waveform simulation and quotation mark grabbing are carried out through Modelsim and ChipScope, so as to improve the efficiency of debugging. The parameters of up-down converter are controlled by sending instructions from mobile phone.)
Platform: | Size: 6740992 | Author: 财哥在此 | Hits:

CodeBus www.codebus.net