Welcome![Sign In][Sign Up]
Location:
Search - CRC-16

Search list

[VHDL-FPGA-Verilogcrc_verilog_xilinx

Description: CRC,对于研究通信的有重要意义.利用VERILOG实现8位,16位等CRC原理,-CRC, the study of communication are important. VERILOG to achieve the use of 8, 16, such as CRC principle,
Platform: | Size: 10240 | Author: | Hits:

[OtherModbus

Description: Modbus модуль. Подсчет CRC 16/ Работа с командами ASC-Modbus модуль. Подсчет CRC 16/ Работа с командами ASCII
Platform: | Size: 9216 | Author: ZAMM | Hits:

[matlabRFC_1622_CRC16_m

Description: RFC1662 CRC-16 table generation and CRC checking. Implemented in embedded matlab with script to test and enable c/c++ code generation. Useful fo check against VHDL/Verilog and other embedded systems to help generate test vectors.
Platform: | Size: 1024 | Author: spaander | Hits:

[matlabCCITT_CRC16

Description: CCITT的CRC16代码,自己编写的已通过验证,注意和Matlab的CRC函数有所不同。-This script calculates the 16-bit ITU-T CRC. The generator polynomial is G(x)=1+ X^5+ X^12+ X^16
Platform: | Size: 1024 | Author: 张原 | Hits:

[OtherModbusCN

Description: modbus协议中文详解:Modbus协议;串行通信;LRC校验;CRC校验-modbus protocol中文China: Modbus protocol serial communication LRC check CRC Checksum
Platform: | Size: 95232 | Author: shufan | Hits:

[Communication16CRC

Description: 16位CRC校验原理与算法分析,很详尽,学习CRC16的重要资料。-16-bit CRC checksum algorithm theory and analysis, very detailed and important information to learn CRC16.
Platform: | Size: 18432 | Author: shufan | Hits:

[VHDL-FPGA-Verilogtrunk-hdlc

Description: 高级链路层协议的实现,vhdl,fpga-- 8 bit parallel backend interface - use external RX and TX clocks - Start and end of frame pattern generation - Start and end of frame pattern checking - Idle pattern generation and detection (all ones) - Idle pattern is assumed only after the end of a frame which is signaled by an abort signal - Zero insertion - Abort pattern generation and checking - Address insertion and detection by software - CRC generation and checking (Optional, external, since CRC-16 or CRC-32 can be used) - FIFO buffers and synchronization (External) - Byte aligned data (if data is not aligned to 8-bits extra random bits are inserted) - Q.921, LAPB and LAPD compliant. - For complete specifications refer to spec document
Platform: | Size: 188416 | Author: | Hits:

[Other Embeded programcrc.c

Description: CRC source code for linux environment. CRC for 16 bytes packet. Useful for checking crc of tinyos uart packet.
Platform: | Size: 1024 | Author: andus | Hits:

[SCMEX_CRC

Description: CRC校验源码,16位两种模式的CRC校验-EX_CRC
Platform: | Size: 3072 | Author: luanshuli | Hits:

[SCM16CRC

Description: 用单片机实现16位CRC冗余校验的方法介绍-With MCU 16-bit CRC redundancy check method of introduction
Platform: | Size: 95232 | Author: wuxiliang | Hits:

[OtherCRC_V

Description: 实现CRC码的产生 校验,有crc-8 crc-16 crc-32-cdc chansheng he jiaoyan
Platform: | Size: 28672 | Author: goodyeday | Hits:

[Com PortCRC-16

Description:
Platform: | Size: 20480 | Author: wangxiaoya | Hits:

[Delphi VCLtestcrc

Description: 计算CRC16/CRC8/CRC32的程序,可获得CRC-CCITT/CRC-16/CRC-8/CRC-32的计算结果。 提供Delphi源代码和MCS51单片机的汇编源代码,分别采用两至三种不同算法实现。有比较详细的调用和使用说明-Calculation CRC16/CRC8/CRC32 procedures, available CRC-CCITT/CRC-16/CRC-8/CRC-32 calculations. Delphi source code and provides a compilation of the source code MCS51 MCU, respectively, use two or three different algorithms. More detailed description of the calls and the use of
Platform: | Size: 137216 | Author: guibin | Hits:

[OtherMyCRC16

Description: visual basic 的CRC-ITU算法程序,用查表法实现,crc多项式为x^16 + x^15 + x^2 +1-visual basic procedures of the CRC-ITU algorithm, using look-up table method to achieve, crc polynomial x ^ 16+ x ^ 15+ x ^ 2+1
Platform: | Size: 2048 | Author: 汪柏 | Hits:

[Othercrc

Description: 添加不同校验比特个数下的CRC校验,包括8,16,24个校验比特-Different number of parity bits added under the CRC checksum, parity bits, including 8,16,24 months
Platform: | Size: 2048 | Author: 朱敏 | Hits:

[VHDL-FPGA-VerilogPCK_CRC16_D1

Description: CRC源代码,VHDL文件,可供参考,16位的-CRC source code, VHDL files, for reference, 16-bit
Platform: | Size: 1024 | Author: ly | Hits:

[Othercrc-ccitt

Description:
Platform: | Size: 1024 | Author: zhangshcong | Hits:

[Windows Develop8bit.CRC.checksum.algorithm32bit

Description: CRC校验8位16位32位算法设计经典代码8-bit CRC checksum algorithm 16-bit 32-bit classic code -8-bit CRC checksum algorithm 16-bit 32-bit classic code
Platform: | Size: 177152 | Author: lili | Hits:

[SCMExample-CRC_Generation

Description: CRC Generation example for PIC microcontroller using languaje C
Platform: | Size: 25600 | Author: Giancarlo | Hits:

[VHDL-FPGA-VerilogCRC

Description: Cyclic redundancy check code (16-bit) Very good code verified code
Platform: | Size: 151552 | Author: veerender | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 25 »

CodeBus www.codebus.net