Welcome![Sign In][Sign Up]
Location:
Search - CORDIC atan

Search list

[VHDL-FPGA-VerilogCORDIC_ATAN

Description: 使用verilog语言完成了基于cordic算法求反正切的计算,精度为8次迭代-Verilog language used to complete based on CORDIC algorithm for arctangent calculation, an accuracy of 8 iterations
Platform: | Size: 1024 | Author: 小米 | Hits:

[DSP programcordic

Description: 数字信号处理的fpga实现,用VHDL语言编程实现cordic算法-Digital signal processing to achieve the FPGA, using VHDL language programming to achieve CORDIC Algorithm
Platform: | Size: 1024 | Author: songjunmin | Hits:

[VHDL-FPGA-Verilogatan_lut

Description: 基于改进的查找表的arctan计算模块,包含完整的VHDL源代码及部分注释.绝对原创!-Arctan calculation module based on improved searching form. The rar package contains complete VHDL source code and some notes. Absolutely original!
Platform: | Size: 12288 | Author: wgy | Hits:

[VHDL-FPGA-Verilogatan

Description: 自己写的cordic 的 64位计算反正切的程序,-cordic count atan program
Platform: | Size: 1024 | Author: planet1997 | Hits:

[Communication-Mobileatan

Description: 反三角函数asin,acos,atan,atan2的实现,编写手机游戏的数学相关库时,经常会用到。 -反三角函数asin, acos, atan, atan2 realization, the preparation of mathematics related to mobile phone games library, is often used.
Platform: | Size: 1024 | Author: lili | Hits:

[VHDL-FPGA-Verilogcordic

Description: vhdl语言编写的cordic算法,实现了cordic的流水线运算。-cordic language vhdl algorithm cordic the pipeline operator.
Platform: | Size: 1024 | Author: lmy | Hits:

[Algorithmatan_cordic

Description: 基于CORDIC算法计算反正切函数。输入横坐标纵坐标及迭代次数,输出角度及弧度值;附CORDIC英文文档说明-atan function based in CORDIC algorithm
Platform: | Size: 39936 | Author: liuhua | Hits:

[3G developarctan_prog.ZIP

Description: 用Cordic算法实现的atan函数,可以在通信中完成解调的鉴相功能。-Cordic algorithm using the atan function can be completed in the communication phase demodulation functions.
Platform: | Size: 1024 | Author: 黄嘎 | Hits:

[matlabcordic_sqrt_atan

Description: 利用cordic实现开方和atan运算的matlab源码。 本人原创,标准cordic算法。-calculate aquare root and atan by cordic. Matlab m language.
Platform: | Size: 96256 | Author: 李林 | Hits:

[VHDL-FPGA-VerilogCORDIC-atan

Description: A program to calculate sun position(Declination and Right Ascension) in very accurate manner.
Platform: | Size: 1024 | Author: rsh | Hits:

[Algorithmcordic_atan

Description: 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated using verilog language arc tangent function, the software radio demodulation PM/FM is particularly used frequently. From the archive is modelsim project, based on 6.5c, inside the PM contains a complete demodulation process of wave generation and simulation matlab file, and whichever is the middle of the I and Q branch verilog file as input, and its IOU demodulated output and actual output of MATLAB for comparison. Phase detector design is based on CORDIC algorithm, its accuracy depends on the iteration depth. As the practical application of engineering demodulated atan value only and does not need absolute value, and there is no weight given to the need of the students can add their own.
Platform: | Size: 79872 | Author: Jorge | Hits:

[VHDL-FPGA-VerilogCORDIC16

Description: 16次迭代的CORDIC算法,精度很高,可应用于计算反正切值-16 iterations of the CORDIC algorithm, high accuracy, can be applied to calculate arctangent
Platform: | Size: 2048 | Author: 桑顿 | Hits:

[matlabatan_cordic

Description: atan function using cordic
Platform: | Size: 1024 | Author: xyz002 | Hits:

[matlabcordic_atan

Description: CORDIC arctangent(atan) Simulink model. You can generate HDL from this model
Platform: | Size: 30720 | Author: nnmb | Hits:

[matlabserial_fxptesto

Description: CORDIC arctangent(atan) Simulink model. You can generate HDL from this model
Platform: | Size: 30720 | Author: gogo | Hits:

[assembly languageA-math-routine-to-calculate-the-atan(x-y)-using-C

Description: calculates the arctangent of the input values x and y (sometimes called atan2) by using a CORDIC (COordinate Rotation on a DIgital Computer) algorithm for 8051 microcontroller
Platform: | Size: 3072 | Author: microorganisme | Hits:

[MPICORDIC_ATAN

Description: 用CORDIC算法,通过角度旋转,实现ATAN,最后得到角度和幅度-CORDIC algorithm, by the angle of rotation, ATAN and finally get the angle and amplitude
Platform: | Size: 1024 | Author: zxh | Hits:

[MPIatan

Description: fpga运用cordic算法计算atan.精确度较好。-fpga using cordic algorithm atan. precision is better.
Platform: | Size: 22970368 | Author: shiyuan | Hits:

[matlabCORDIC

Description: 在Matlab中实现了Cordic快速算法,并实现了sin(x), cos(x), atan(y/x), sqrt(x^2+y^2)等函数的快速计算,并附加了误差分析的结果图,代码清晰简洁,对Cordic算法的迭代次数确定,有一定的指导意义-Cordic implemented in Matlab fast algorithm, and realized sin (x), quickly calculate cos (x), atan (y/x), sqrt (x ^ 2+y ^ 2) and other functions, and attach the results of the error analysis Figure, clear concise code, Cordic algorithm for determining the number of iterations, there are certain guiding significance
Platform: | Size: 137216 | Author: 俞毅 | Hits:

[Algorithmcordic

Description: cordic,matlab,sin,cos,atan,sqrt
Platform: | Size: 5120 | Author: 老殷比 | Hits:
« 12 »

CodeBus www.codebus.net