Welcome![Sign In][Sign Up]
Location:
Search - Analog to digital converter

Search list

[DocumentsAnalog-to-digital converter survey and analysis.ra

Description: 软件无线电技术应用- The software radio technology applies
Platform: | Size: 407552 | Author: 刘军 | Hits:

[DSP programLab06-AD

Description: TMS320C6713 DSP ad转换源码,实现数据的数模转换-TMS320C6713 DSP ad conversion source code, data the digital-to-analog converter
Platform: | Size: 21504 | Author: 李世民 | Hits:

[OtherP89C51RA2_RB2_RC2_RD2_2

Description: 16位ad7705模数转换 好用串口方式 能值接把数读出来-16-bit AD7705 analog-to-digital converter serial-to-use way to access the value of the number of read out
Platform: | Size: 357376 | Author: | Hits:

[VHDL-FPGA-Verilogadc

Description: Analog-to-Digital Converter,VHDL code-Analog-to-Digital Converter, VHDL code
Platform: | Size: 14336 | Author: leigh lee | Hits:

[Other262-45526-LTC2453

Description: Easy-to-Use, Ultra-Tiny, Differential, 16-Bit Delta Sigma ADC With I2C Interface The LTC2453 is an ultra-tiny, fully differential, 16-bit, analog-to-digital converter. The LTC2453 uses a single 2.7V to 5.5V supply and communicates through an I2C interface. The ADC is available in an 8-pin, 3mm x 2mm DFN package. It includes an integrated oscillator that does not require any external components. It uses a delta-sigma modulator as a converter core and has no latency for multiplexed applications. The LTC2453 includes a proprietary input sampling scheme that reduces the average input sampling current several orders of magnitude lower than conventional delta-sigma converters. Additionally, due to its architecture, there is negligible current leakage between the input pins.
Platform: | Size: 273408 | Author: Lee Ka Wing | Hits:

[Embeded-SCM Developcpld

Description: 实现8通道模拟/数字转换和数字/模拟转换的例子,采用ISA总线控制逻辑.-Realize 8-channel analog/digital conversion and digital/analog converter example, the use of ISA bus control logic.
Platform: | Size: 3072 | Author: 兰升 | Hits:

[SCMADC

Description: 利用avr的芯片MEGA64L的ADC功能来计算电压,在串口输出。-Avr chip MEGA64L use the ADC function to calculate the voltage, the serial output.
Platform: | Size: 89088 | Author: 开始 | Hits:

[Other Embeded programAD7793

Description: Analog-to-digital converter AD7793 functions to work with ATmega128/ATmega2560
Platform: | Size: 2048 | Author: Nikson1200 | Hits:

[SCMadc_1602

Description: atmega128单片机实现模数转换并通过1602液晶显示出结果。-atmega128 achieve single-chip analog-to-digital converter and liquid crystal display through the 1602 results.
Platform: | Size: 31744 | Author: feng | Hits:

[Communication-Mobileanalog2digitalconverter

Description: analog to digital converter source code, very good
Platform: | Size: 3072 | Author: ojokojo | Hits:

[Embeded Linuxanalog-to-digitalconversionofthespecificationsandp

Description: 这份术语表定义了TI公司的delta-sigma、逐次逼近型和流水线模数转换器,并详细说明他们的规格和性能特点。-approximation register (SAR), and pipeline analog-to-digital (A/D) Converter specifications and performance characteristics. Although there is a considerable amount of detail in this document, the product data sheet for a particular product specification is the best and final reference. To download or view a specific data converter product data sheet, see the Texas Instruments
Platform: | Size: 1692672 | Author: 千里 | Hits:

[OtherFFT

Description: 流水线模数转换电路输出信号做fft后求SNR,SNDR的matlab程序-matlab fft program for SNR and SNDR of pipelined analog to digital converter(ADC)
Platform: | Size: 149504 | Author: liu | Hits:

[Windows DevelopAnalog-to-Digital-Converter

Description: 模拟 数字转换器模数转换器,实现模拟信号数字化,便于计算机采集应用-Analog-digital converter ADC, the analog signal is digital, easy computer acquisition applications
Platform: | Size: 66560 | Author: 伯白君 | Hits:

[VHDL-FPGA-VerilogAnalog-to-digital-converter

Description: 模数转化器,64位双精度的模拟输入值,16位数字输出-Analog to digital converter, 64-bit double-precision analog inputs, 16 digital outputs
Platform: | Size: 1024 | Author: chenxuying | Hits:

[ARM-PowerPC-ColdFire-MIPSLab4---Analog-to-Digital-Converter

Description: it is analog to digital converter using an lpc2103
Platform: | Size: 1363968 | Author: abel | Hits:

[VHDL-FPGA-VerilogAnalog-to-Digital-Converter-Model

Description: Analog-to-Digital Converter Model.
Platform: | Size: 2048 | Author: jerryzhang | Hits:

[SCMAnalog-to-Digital-Converter

Description: Analog-to-Digital Converter
Platform: | Size: 52224 | Author: 黄建权 | Hits:

[OtherLab4---Analog-to-Digital-Converter-yonas

Description: Analog to digital conversion
Platform: | Size: 83968 | Author: JaredDej | Hits:

[Software Engineeringspru812a-TMS320x2833x--2823x-Analog-to-Digital-Co

Description: TMS320x2833x Analog-to-Digital Converter (ADC) Module Reference Guide
Platform: | Size: 241664 | Author: swk | Hits:

[SCMDAAnalog-to-digital-converter

Description: 本代码基于51单片机为控制核心,以外置的模数转换器为转换器,能够实现对模拟数据的采集和转换。-Based on this code microcontroller core 51, analog to digital converter external to the converter can be realized for analog data acquisition and conversion.
Platform: | Size: 41984 | Author: 张晓林 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 28 »

CodeBus www.codebus.net