Welcome![Sign In][Sign Up]
Location:
Search - 16 bit cpu design

Search list

[Other resourcethe-design-of-16-bit-cpu

Description: 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
Platform: | Size: 128112 | Author: 晶晶 | Hits:

[VHDL-FPGA-Verilogthe-design-of-16-bit-cpu

Description: 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
Platform: | Size: 128000 | Author: 晶晶 | Hits:

[VHDL-FPGA-VerilogCPU

Description: 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程-Simple 16-bit CPU design of the VHDL code and VHDL design process cpu
Platform: | Size: 1488896 | Author: kilva | Hits:

[VHDL-FPGA-VerilogMyCPU16

Description: 16位cpu设计VHDL源码,其中包括alu,clock,memory等部分的设计-16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
Platform: | Size: 1089536 | Author: 孙冰 | Hits:

[VHDL-FPGA-Verilog8-cpu

Description: 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc-8-bit CPU of the VHDL design, 16 instruction, as well as some of the test code, development tools is quartusii_60_pc
Platform: | Size: 3072 | Author: FJ | Hits:

[OS program16cpu

Description: 实现16位的cpu设计 内容使用verilog hdl实现,具体的实现步骤方法,都已经写到文档里面去了!-To achieve 16-bit design of the contents of the cpu using verilog hdl achieve, the specific methods to achieve these steps have already been written inside the document went to!
Platform: | Size: 440320 | Author: gimel_sh | Hits:

[ARM-PowerPC-ColdFire-MIPSpipeline

Description: 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
Platform: | Size: 3028992 | Author: kevin | Hits:

[VHDL-FPGA-VerilogCPU

Description: 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and complete
Platform: | Size: 1489920 | Author: 雄鹰 | Hits:

[ARM-PowerPC-ColdFire-MIPS16-bit_cpu_design

Description: 详细介绍了如何设计一个简单的16位cpu.其中包含了从最基础的指令系统开始到最复杂的cu控制器的设计思路,方案.最后还介绍了一些有关vhdl语言的用法,并给出了具体的cpu部件的vhdl代码,从而帮助大家更为深刻的学习如何设计一个简单的cpu-Described in detail how to design a simple 16-bit cpu. Which contains the most basic instruction from the beginning to the most complex cu controller design ideas, program. Finally the author describes some of the vhdl language usage, and gives vhdl cpu specific parts of the code to help you more deeply to learn how to design a simple cpu
Platform: | Size: 1051648 | Author: 罗高 | Hits:

[VHDL-FPGA-Verilogcpu

Description: 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
Platform: | Size: 1053696 | Author: liuying | Hits:

[VHDL-FPGA-Verilogcpu16

Description: 16位cpu设计vhdl源码。主要实现risc机器模型-16-bit cpu design code
Platform: | Size: 182272 | Author: peterloo | Hits:

[VHDL-FPGA-Verilogcpu

Description: 给定指令系统的处理器设计,指令字长16位,包含10种操作-Given instruction processor design, 16-bit instruction word length, contains 10 kinds of operations
Platform: | Size: 1090560 | Author: 姜健 | Hits:

[VHDL-FPGA-Verilogzxcpu

Description: 用VHDL语言设计了一个含10条指令的RISC处理器。假定主存可以在一个始终周期内完成依次读写操作且和CPU同步,系统使用一个主存单元。处理器指令字长16位,包含8个通用寄存器,1个16位的指令寄存器和一个16位的程序记数器。处理器的地址总线宽度16位。数据总线宽度16位,取指和数据访问均在一跳蝻数据总线。处理器支持包含LDA,STA,MOV,MVI,ADD,SUB,AND,OR,JZ,JMP十条指令。其中仅有LDA和STA是访存指令。-VHDL language design with a RISC processor with 10 instruction. Assume that main memory can be completed in one cycle is always followed and the CPU read and write operations and the synchronization system uses a main memory unit. 16-bit instruction word processor, including 8 general purpose registers, a 16-bit instruction register and a 16-bit program counter. Processor' s address bus width 16 bits. 16-bit data bus width, fetch and data access are in the hop hoppers data bus. Processor support includes LDA, STA, MOV, MVI, ADD, SUB, AND, OR, JZ, JMP ten instructions. LDA and STA is the only one memory access instructions.
Platform: | Size: 1076224 | Author: zhaoshu | Hits:

[VHDL-FPGA-Verilogvhdl_16CPU

Description: 16位CPU设计,采用VHDL语言,自带测试汇编语言,能实现基本运算和移位、跳转等操作-16-bit CPU design, using VHDL language, self-test assembly language, to achieve the basic operations and shift operations such as jump
Platform: | Size: 985088 | Author: 戈多 | Hits:

[VHDL-FPGA-VerilogCPU-with-VHDL-16-32

Description: 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU and other modules
Platform: | Size: 1651712 | Author: | Hits:

[Other16-bit-CPU

Description: 单周期16位CPU的设计,我们的计算机组成原理课设,可以实现R型、I型和J型指令,内有报告和指导书-Single-cycle 16-bit CPU design, our Principles of Computer Organization class set, you can achieve R-type, type I, and J-type instructions, reports and instructions
Platform: | Size: 4185088 | Author: 大空翼 | Hits:

[VHDL-FPGA-Verilogcpu

Description: 计算机设计与实践实验 16位cpu设计 使用用VHDL语言 -16-bit cpu design with VHDL
Platform: | Size: 1026048 | Author: yuwentao | Hits:

[source in ebook16-CISC-CPU-design

Description: 16位精简指令集的CPU设计,有完整的步骤和原程序可供学习-16-bit RISC CPU design, complete steps and the original program for learning
Platform: | Size: 699392 | Author: 何宗苗 | Hits:

[Windows DevelopCPU

Description: 基于Basys3的16位CPU设计,含有指令集,可以控制Basys3的LED灯,并且通过板子上的开关,调节流水灯的模式(16 bit CPU design based on Basys3, containing instruction set, can control the Basys3 LED lights, and through the switch on the board, adjust the water lamp mode)
Platform: | Size: 7168 | Author: 当当当当1 | Hits:

[VHDL-FPGA-Verilogcpu_2013

Description: 简化的16位的cpu的设计,有缓冲器,指令存储器,数据存储器等基本模块组成(The simplified 16 bit CPU design consists of a buffer, instruction memory, data memory and other basic modules)
Platform: | Size: 18260992 | Author: 施魍魉 | Hits:
« 12 »

CodeBus www.codebus.net