Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: 标准的串口通讯设计VHDL Download
 Description: communication design programme of standard asynchronous serial port base on VHDL programme
 Downloaders recently: [More information of uploader yufh]
  • [asimpleUART] - using VHDL prepared a simple UART
  • [rtl] - verilogrtl After the former imitation th
  • [vlc-0.7.1.tar] - VLC media player in the Linux environmen
  • [thedecoderusedLCDdriver.Rar] - 320* 240 dot matrix LCD driver, controll
  • [UART_BooQuai] - FPGA serial UART to achieve the original
  • [chengxu(vhdl).Rar] - VHDL and FPGA prepared by the computer s
  • [chuankou] - Serial Serial VHDL realization of VHDL
  • [Lcd-12864] - This is a company with FPGA control ALTE
  • [chuankou] - FPGA and the microcontroller serial comm
  • [UART] - The QUARYUS environment contains a UART
File list (Check if you may need any files):
rcvr.vhd
rcvr_tb.vhd
readme.doc
readme.txt
txmit.vhd
txmit_tb.vhd
uart.vhd
    

CodeBus www.codebus.net