Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: camera_ov7725_sample Download
 Description: Realize the camera ov7725 acquisition of video, output through VGA port. Fpga model EGO1, you can modify the XDC file.
 Downloaders recently: [More information of uploader beTTer_every]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\07936dd1d99345f0.xci 3583 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\cam_ov7670_ov7725_0.dcp 23447 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\cam_ov7670_ov7725_0_sim_netlist.v 27152 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\cam_ov7670_ov7725_0_sim_netlist.vhdl 34734 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\cam_ov7670_ov7725_0_stub.v 1553 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\07936dd1d99345f0\cam_ov7670_ov7725_0_stub.vhdl 1778 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\3a159b54348a9a68.xci 3923 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\IICctrl_0.dcp 30717 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\IICctrl_0_sim_netlist.v 45506 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\IICctrl_0_sim_netlist.vhdl 57136 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\IICctrl_0_stub.v 1491 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\3a159b54348a9a68\IICctrl_0_stub.vhdl 1642 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\579791e2fa813d36.xci 13112 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\blk_mem_gen_0.dcp 238171 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\blk_mem_gen_0_sim_netlist.v 698583 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\blk_mem_gen_0_sim_netlist.vhdl 776689 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\blk_mem_gen_0_stub.v 1471 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\579791e2fa813d36\blk_mem_gen_0_stub.vhdl 1673 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\8bdbfd78522ac0c2.xci 4197 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\ram_read_0.dcp 15788 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\ram_read_0_sim_netlist.v 14117 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\ram_read_0_sim_netlist.vhdl 16843 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\ram_read_0_stub.v 1600 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\8bdbfd78522ac0c2\ram_read_0_stub.vhdl 1824 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\d883790fc91b5490.xci 3657 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\vga_0.dcp 18094 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\vga_0_sim_netlist.v 22791 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\vga_0_sim_netlist.vhdl 28572 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\vga_0_stub.v 1420 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\d883790fc91b5490\vga_0_stub.vhdl 1571 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\dee7aaf119cce2f4.xci 3815 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\ov7725_regData_0.dcp 6568 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\ov7725_regData_0_sim_netlist.v 5298 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\ov7725_regData_0_sim_netlist.vhdl 5070 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\ov7725_regData_0_stub.v 1383 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\dee7aaf119cce2f4\ov7725_regData_0_stub.vhdl 1522 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\clk_wiz_0.dcp 9287 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\clk_wiz_0_sim_netlist.v 7186 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\clk_wiz_0_sim_netlist.vhdl 7220 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\clk_wiz_0_stub.v 1234 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\clk_wiz_0_stub.vhdl 1263 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\ip\2018.3\e2b931c856e9b6d6\e2b931c856e9b6d6.xci 37703 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\gui_handlers.wdf 3070 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\java_command_handlers.wdf 1248 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\project.wpc 121 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\synthesis.wdf 5087 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\synthesis_details.wdf 100 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.cache\wt\webtalk_pa.xml 3884 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.hw\camera_ov7725_sample.lpr 343 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.hw\hw_1\hw.xml 786 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0.veo 3159 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0.vho 3459 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0_stub.v 1446 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0_stub.vhdl 1592 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\cam_ov7670_ov7725_0\cam_ov7670_ov7725_0.veo 3296 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\cam_ov7670_ov7725_0\cam_ov7670_ov7725_0.vho 3612 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\cam_ov7670_ov7725_0\cam_ov7670_ov7725_0_stub.v 1540 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\cam_ov7670_ov7725_0\cam_ov7670_ov7725_0_stub.vhdl 1721 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\clk_wiz_0\clk_wiz_0.veo 3531 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.v 1201 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.vhdl 1166 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\IICctrl_0\IICctrl_0.veo 3216 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\IICctrl_0\IICctrl_0.vho 3463 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\IICctrl_0\IICctrl_0_stub.v 1458 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\IICctrl_0\IICctrl_0_stub.vhdl 1545 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ov7725_regData_0\ov7725_regData_0.veo 3049 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ov7725_regData_0\ov7725_regData_0.vho 3302 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ov7725_regData_0\ov7725_regData_0_stub.v 1364 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ov7725_regData_0\ov7725_regData_0_stub.vhdl 1453 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ram_read_0\ram_read_0.veo 3335 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ram_read_0\ram_read_0.vho 3638 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ram_read_0\ram_read_0_stub.v 1569 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\ram_read_0\ram_read_0_stub.vhdl 1731 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\vga_0\vga_0.veo 3110 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\vga_0\vga_0.vho 3377 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\vga_0\vga_0_stub.v 1379 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ip\vga_0\vga_0_stub.vhdl 1458 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\ipstatic\simulation\blk_mem_gen_v8_4.v 171346 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\mem_init_files\summary.log 989 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\README.txt 130 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\blk_mem_gen_0.sh 5067 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\blk_mem_gen_0.udo 0 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\compile.do 760 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\file_info.txt 600 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\glbl.v 1474 2018-12-07
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\README.txt 2201 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\simulate.do 340 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\summary.log 989 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\wave.do 32 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\blk_mem_gen_0.sh 5713 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\file_info.txt 600 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\glbl.v 1474 2018-12-07
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\README.txt 2142 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\run.f 571 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\ies\summary.log 989 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\blk_mem_gen_0.sh 5228 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\blk_mem_gen_0.udo 0 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\compile.do 844 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\file_info.txt 600 2019-11-09
camera_ov7725_sample\camera_ov7725_sample\camera_ov7725_sample.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\glbl.v 1474 2018-12-07

CodeBus www.codebus.net