Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: KEY Download
 Description: Using the key to control the LED lamp with Verilog is a good exercise for the beginner.
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
KEY\.Xil 0 2016-06-22
KEY\KEY.cache 0 2018-01-23
KEY\KEY.cache\compile_simlib 0 2016-06-22
KEY\KEY.cache\wt 0 2018-01-23
KEY\KEY.cache\wt\java_command_handlers.wdf 154 2017-09-05
KEY\KEY.cache\wt\synthesis.wdf 3737 2016-06-22
KEY\KEY.cache\wt\synthesis_details.wdf 100 2016-06-22
KEY\KEY.cache\wt\webtalk_pa.xml 1417 2017-09-05
KEY\KEY.cache\wt\xsim.wdf 256 2017-09-05
KEY\KEY.hw 0 2018-01-23
KEY\KEY.hw\hw_1 0 2018-01-23
KEY\KEY.hw\hw_1\hw.xml 685 2017-09-06
KEY\KEY.hw\hw_1\wave 0 2016-06-22
KEY\KEY.hw\KEY.lpr 343 2016-06-22
KEY\KEY.hw\webtalk 0 2018-01-23
KEY\KEY.hw\webtalk\.xsim_webtallk.info 59 2017-09-06
KEY\KEY.hw\webtalk\labtool_webtalk.log 650 2017-09-06
KEY\KEY.hw\webtalk\usage_statistics_ext_labtool.html 2865 2017-09-06
KEY\KEY.hw\webtalk\usage_statistics_ext_labtool.xml 2431 2017-09-06
KEY\KEY.runs 0 2018-01-23
KEY\KEY.runs\.jobs 0 2018-01-23
KEY\KEY.runs\.jobs\vrs_config_1.xml 387 2016-06-22
KEY\KEY.runs\impl_1 0 2018-01-23
KEY\KEY.runs\impl_1\.init_design.begin.rst 189 2016-06-22
KEY\KEY.runs\impl_1\.init_design.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.opt_design.begin.rst 189 2016-06-22
KEY\KEY.runs\impl_1\.opt_design.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.place_design.begin.rst 189 2016-06-22
KEY\KEY.runs\impl_1\.place_design.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.route_design.begin.rst 189 2016-06-22
KEY\KEY.runs\impl_1\.route_design.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.vivado.begin.rst 188 2016-06-22
KEY\KEY.runs\impl_1\.vivado.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.Vivado_Implementation.queue.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.write_bitstream.begin.rst 189 2016-06-22
KEY\KEY.runs\impl_1\.write_bitstream.end.rst 0 2016-06-22
KEY\KEY.runs\impl_1\.Xil 0 2016-06-22
KEY\KEY.runs\impl_1\gen_run.xml 4446 2016-06-22
KEY\KEY.runs\impl_1\htr.txt 375 2016-06-22
KEY\KEY.runs\impl_1\init_design.pb 1528 2016-06-22
KEY\KEY.runs\impl_1\ISEWrap.js 4766 2016-06-22
KEY\KEY.runs\impl_1\ISEWrap.sh 1622 2016-06-22
KEY\KEY.runs\impl_1\KEY.bit 3825888 2016-06-22
KEY\KEY.runs\impl_1\KEY.mcs 10761048 2016-06-22
KEY\KEY.runs\impl_1\KEY.tcl 4117 2016-06-22
KEY\KEY.runs\impl_1\KEY.vdi 18296 2016-06-22
KEY\KEY.runs\impl_1\KEY_clock_utilization_routed.rpt 7709 2016-06-22
KEY\KEY.runs\impl_1\KEY_control_sets_placed.rpt 2763 2016-06-22
KEY\KEY.runs\impl_1\KEY_drc_opted.rpt 1642 2016-06-22
KEY\KEY.runs\impl_1\KEY_drc_routed.pb 37 2016-06-22
KEY\KEY.runs\impl_1\KEY_drc_routed.rpt 1642 2016-06-22
KEY\KEY.runs\impl_1\KEY_io_placed.rpt 117627 2016-06-22
KEY\KEY.runs\impl_1\KEY_opt.dcp 123740 2016-06-22
KEY\KEY.runs\impl_1\KEY_placed.dcp 138047 2016-06-22
KEY\KEY.runs\impl_1\KEY_power_routed.rpt 7116 2016-06-22
KEY\KEY.runs\impl_1\KEY_power_summary_routed.pb 675 2016-06-22
KEY\KEY.runs\impl_1\KEY_route_status.pb 44 2016-06-22
KEY\KEY.runs\impl_1\KEY_route_status.rpt 588 2016-06-22
KEY\KEY.runs\impl_1\KEY_routed.dcp 150374 2016-06-22
KEY\KEY.runs\impl_1\KEY_timing_summary_routed.rpt 7203 2016-06-22
KEY\KEY.runs\impl_1\KEY_timing_summary_routed.rpx 7260 2016-06-22
KEY\KEY.runs\impl_1\KEY_utilization_placed.pb 231 2016-06-22
KEY\KEY.runs\impl_1\KEY_utilization_placed.rpt 9065 2016-06-22
KEY\KEY.runs\impl_1\opt_design.pb 5233 2016-06-22
KEY\KEY.runs\impl_1\place_design.pb 13839 2016-06-22
KEY\KEY.runs\impl_1\project.wdf 1838 2016-06-22
KEY\KEY.runs\impl_1\route_design.pb 7122 2016-06-22
KEY\KEY.runs\impl_1\rundef.js 1368 2016-06-22
KEY\KEY.runs\impl_1\runme.bat 229 2016-06-22
KEY\KEY.runs\impl_1\runme.log 18588 2016-06-22
KEY\KEY.runs\impl_1\runme.sh 1190 2016-06-22
KEY\KEY.runs\impl_1\usage_statistics_webtalk.html 24590 2016-06-22
KEY\KEY.runs\impl_1\usage_statistics_webtalk.xml 35282 2016-06-22
KEY\KEY.runs\impl_1\vivado.jou 462 2016-06-22
KEY\KEY.runs\impl_1\vivado.pb 129 2016-06-22
KEY\KEY.runs\impl_1\write_bitstream.pb 2749 2016-06-22
KEY\KEY.runs\synth_1 0 2018-01-23
KEY\KEY.runs\synth_1\.vivado.begin.rst 188 2016-06-22
KEY\KEY.runs\synth_1\.vivado.end.rst 0 2016-06-22
KEY\KEY.runs\synth_1\.Vivado_Synthesis.queue.rst 0 2016-06-22
KEY\KEY.runs\synth_1\.Xil 0 2016-06-22
KEY\KEY.runs\synth_1\gen_run.xml 1944 2016-06-22
KEY\KEY.runs\synth_1\htr.txt 367 2016-06-22
KEY\KEY.runs\synth_1\ISEWrap.js 4766 2016-06-22
KEY\KEY.runs\synth_1\ISEWrap.sh 1622 2016-06-22
KEY\KEY.runs\synth_1\KEY.dcp 21624 2016-06-22
KEY\KEY.runs\synth_1\KEY.tcl 1058 2016-06-22
KEY\KEY.runs\synth_1\KEY.vds 16901 2016-06-22
KEY\KEY.runs\synth_1\KEY_utilization_synth.pb 231 2016-06-22
KEY\KEY.runs\synth_1\KEY_utilization_synth.rpt 6986 2016-06-22
KEY\KEY.runs\synth_1\rundef.js 1297 2016-06-22
KEY\KEY.runs\synth_1\runme.bat 229 2016-06-22
KEY\KEY.runs\synth_1\runme.log 17063 2016-06-22
KEY\KEY.runs\synth_1\runme.sh 1126 2016-06-22
KEY\KEY.runs\synth_1\vivado.jou 464 2016-06-22
KEY\KEY.runs\synth_1\vivado.pb 28029 2016-06-22
KEY\KEY.sim 0 2018-01-23
KEY\KEY.sim\sim_1 0 2018-01-23
KEY\KEY.sim\sim_1\behav 0 2018-01-23
KEY\KEY.sim\sim_1\behav\.Xil 0 2018-01-23

CodeBus www.codebus.net