Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: fifo_test Download
 Description: FIFO IP test project, completed testbench .direct compilation and simulation
 Downloaders recently: [More information of uploader dufanbao]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
fifo_test 0 2018-02-10
fifo_test\db 0 2018-02-10
fifo_test\db\.cmp.kpt 204 2018-02-10
fifo_test\db\altsyncram_1s01.tdf 13262 2018-02-09
fifo_test\db\alt_synch_pipe_36d.tdf 2145 2018-02-09
fifo_test\db\alt_synch_pipe_46d.tdf 2145 2018-02-09
fifo_test\db\a_graycounter_e4c.tdf 3592 2018-02-09
fifo_test\db\a_graycounter_im6.tdf 3496 2018-02-09
fifo_test\db\cmpr_656.tdf 2295 2018-02-09
fifo_test\db\dcfifo_1vg1.tdf 5848 2018-02-09
fifo_test\db\dffpipe_2v8.tdf 1749 2018-02-09
fifo_test\db\dffpipe_3v8.tdf 1749 2018-02-09
fifo_test\db\fifo_test.(0).cnf.cdb 1819 2018-02-09
fifo_test\db\fifo_test.(0).cnf.hdb 1076 2018-02-09
fifo_test\db\fifo_test.(1).cnf.cdb 3092 2018-02-09
fifo_test\db\fifo_test.(1).cnf.hdb 961 2018-02-09
fifo_test\db\fifo_test.(10).cnf.cdb 2210 2018-02-09
fifo_test\db\fifo_test.(10).cnf.hdb 747 2018-02-09
fifo_test\db\fifo_test.(11).cnf.cdb 1130 2018-02-09
fifo_test\db\fifo_test.(11).cnf.hdb 561 2018-02-09
fifo_test\db\fifo_test.(12).cnf.cdb 2210 2018-02-09
fifo_test\db\fifo_test.(12).cnf.hdb 747 2018-02-09
fifo_test\db\fifo_test.(13).cnf.cdb 1275 2018-02-09
fifo_test\db\fifo_test.(13).cnf.hdb 782 2018-02-09
fifo_test\db\fifo_test.(2).cnf.cdb 1644 2018-02-09
fifo_test\db\fifo_test.(2).cnf.hdb 735 2018-02-09
fifo_test\db\fifo_test.(3).cnf.cdb 1663 2018-02-09
fifo_test\db\fifo_test.(3).cnf.hdb 1101 2018-02-09
fifo_test\db\fifo_test.(4).cnf.cdb 1510 2018-02-09
fifo_test\db\fifo_test.(4).cnf.hdb 714 2018-02-09
fifo_test\db\fifo_test.(5).cnf.cdb 4915 2018-02-09
fifo_test\db\fifo_test.(5).cnf.hdb 1411 2018-02-09
fifo_test\db\fifo_test.(6).cnf.cdb 3067 2018-02-09
fifo_test\db\fifo_test.(6).cnf.hdb 827 2018-02-09
fifo_test\db\fifo_test.(7).cnf.cdb 3082 2018-02-09
fifo_test\db\fifo_test.(7).cnf.hdb 842 2018-02-09
fifo_test\db\fifo_test.(8).cnf.cdb 2024 2018-02-09
fifo_test\db\fifo_test.(8).cnf.hdb 889 2018-02-09
fifo_test\db\fifo_test.(9).cnf.cdb 1136 2018-02-09
fifo_test\db\fifo_test.(9).cnf.hdb 566 2018-02-09
fifo_test\db\fifo_test.asm.qmsg 2493 2018-02-10
fifo_test\db\fifo_test.asm.rdb 1362 2018-02-10
fifo_test\db\fifo_test.asm_labs.ddb 18412 2018-02-10
fifo_test\db\fifo_test.cbx.xml 206 2018-02-10
fifo_test\db\fifo_test.cmp.bpm 713 2018-02-10
fifo_test\db\fifo_test.cmp.cdb 31979 2018-02-10
fifo_test\db\fifo_test.cmp.hdb 21033 2018-02-10
fifo_test\db\fifo_test.cmp.idb 5139 2018-02-10
fifo_test\db\fifo_test.cmp.logdb 11181 2018-02-10
fifo_test\db\fifo_test.cmp.rdb 25493 2018-02-10
fifo_test\db\fifo_test.cmp_merge.kpt 209 2018-02-10
fifo_test\db\fifo_test.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd 391056 2018-02-10
fifo_test\db\fifo_test.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd 390078 2018-02-10
fifo_test\db\fifo_test.db_info 140 2018-02-10
fifo_test\db\fifo_test.eda.qmsg 4911 2018-02-10
fifo_test\db\fifo_test.fit.qmsg 32456 2018-02-10
fifo_test\db\fifo_test.hier_info 23138 2018-02-10
fifo_test\db\fifo_test.hif 2170 2018-02-10
fifo_test\db\fifo_test.ipinfo 318 2018-02-10
fifo_test\db\fifo_test.lpc.html 3867 2018-02-10
fifo_test\db\fifo_test.lpc.rdb 694 2018-02-10
fifo_test\db\fifo_test.lpc.txt 5355 2018-02-10
fifo_test\db\fifo_test.map.ammdb 129 2018-02-10
fifo_test\db\fifo_test.map.bpm 676 2018-02-10
fifo_test\db\fifo_test.map.cdb 12115 2018-02-10
fifo_test\db\fifo_test.map.hdb 19700 2018-02-10
fifo_test\db\fifo_test.map.kpt 3502 2018-02-10
fifo_test\db\fifo_test.map.logdb 4 2018-02-10
fifo_test\db\fifo_test.map.qmsg 20058 2018-02-10
fifo_test\db\fifo_test.map.rdb 1312 2018-02-10
fifo_test\db\fifo_test.map_bb.cdb 1901 2018-02-10
fifo_test\db\fifo_test.map_bb.hdb 12183 2018-02-10
fifo_test\db\fifo_test.map_bb.logdb 4 2018-02-10
fifo_test\db\fifo_test.pre_map.hdb 20929 2018-02-10
fifo_test\db\fifo_test.pti_db_list.ddb 246 2018-02-10
fifo_test\db\fifo_test.root_partition.map.reg_db.cdb 398 2018-02-10
fifo_test\db\fifo_test.routing.rdb 8204 2018-02-10
fifo_test\db\fifo_test.rtlv.hdb 20496 2018-02-10
fifo_test\db\fifo_test.rtlv_sg.cdb 17858 2018-02-10
fifo_test\db\fifo_test.rtlv_sg_swap.cdb 2812 2018-02-10
fifo_test\db\fifo_test.sgdiff.cdb 11280 2018-02-10
fifo_test\db\fifo_test.sgdiff.hdb 18645 2018-02-10
fifo_test\db\fifo_test.sld_design_entry.sci 279 2018-02-10
fifo_test\db\fifo_test.sld_design_entry_dsc.sci 279 2018-02-10
fifo_test\db\fifo_test.smart_action.txt 6 2018-02-10
fifo_test\db\fifo_test.smp_dump.txt 199 2018-02-10
fifo_test\db\fifo_test.sta.qmsg 20743 2018-02-10
fifo_test\db\fifo_test.sta.rdb 37904 2018-02-10
fifo_test\db\fifo_test.sta_cmp.8_slow_1200mv_85c.tdb 31850 2018-02-10
fifo_test\db\fifo_test.tiscmp.fast_1200mv_0c.ddb 144938 2018-02-10
fifo_test\db\fifo_test.tiscmp.slow_1200mv_0c.ddb 147340 2018-02-10
fifo_test\db\fifo_test.tiscmp.slow_1200mv_85c.ddb 147485 2018-02-10
fifo_test\db\fifo_test.tis_db_list.ddb 302 2018-02-10
fifo_test\db\fifo_test.tmw_info 364 2018-02-10
fifo_test\db\fifo_test.vpr.ammdb 703 2018-02-10
fifo_test\db\logic_util_heursitic.dat 9548 2018-02-10
fifo_test\db\prev_cmp_fifo_test.qmsg 82669 2018-02-09
fifo_test\fifo_rd.v 1409 2018-02-09
fifo_test\fifo_test.qpf 1282 2018-02-09
fifo_test\fifo_test.qsf 3703 2018-02-10

CodeBus www.codebus.net