Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Greedy_snake Download
 Description: greedy_snake.rar The realization of the snake in the Verilog language Using ISE14.7
 Downloaders recently: [More information of uploader 余杭美吧]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
Greedy_snake\ex5_image_256x256_rom.coe 17223 2015-12-22
Greedy_snake\ex5_over_256x256_rom.coe 17224 2015-12-22
Greedy_snake\greedy_snake.bgn 6745 2015-12-22
Greedy_snake\greedy_snake.bit 464311 2015-12-22
Greedy_snake\Greedy_snake.bld 1858 2015-12-22
Greedy_snake\Greedy_snake.cmd_log 5991 2015-12-22
Greedy_snake\greedy_snake.drc 202 2015-12-22
Greedy_snake\Greedy_snake.gise 13381 2015-12-22
Greedy_snake\Greedy_snake.lso 6 2015-12-22
Greedy_snake\Greedy_snake.ncd 509954 2015-12-22
Greedy_snake\Greedy_snake.ngc 476128 2015-12-22
Greedy_snake\Greedy_snake.ngd 823842 2015-12-22
Greedy_snake\Greedy_snake.ngr 612988 2015-12-22
Greedy_snake\Greedy_snake.pad 15055 2015-12-22
Greedy_snake\Greedy_snake.par 10614 2015-12-22
Greedy_snake\Greedy_snake.pcf 21916 2015-12-22
Greedy_snake\Greedy_snake.prj 692 2015-12-22
Greedy_snake\Greedy_snake.ptwx 18373 2015-12-22
Greedy_snake\Greedy_snake.stx 0 2015-12-22
Greedy_snake\Greedy_snake.syr 84715 2015-12-22
Greedy_snake\Greedy_snake.twr 78774 2015-12-22
Greedy_snake\Greedy_snake.twx 108119 2015-12-22
Greedy_snake\Greedy_snake.ucf 2298 2015-12-22
Greedy_snake\Greedy_snake.unroutes 161 2015-12-22
Greedy_snake\Greedy_snake.ut 553 2015-12-22
Greedy_snake\Greedy_snake.xise 41468 2015-12-22
Greedy_snake\Greedy_snake.xpi 46 2015-12-22
Greedy_snake\Greedy_snake.xst 1108 2015-12-22
Greedy_snake\Greedy_snake_bitgen.xwbt 294 2015-12-22
Greedy_snake\Greedy_snake_envsettings.html 17195 2015-12-22
Greedy_snake\Greedy_snake_guide.ncd 509954 2015-12-22
Greedy_snake\Greedy_snake_map.map 8137 2015-12-22
Greedy_snake\Greedy_snake_map.mrp 15963 2015-12-22
Greedy_snake\Greedy_snake_map.ncd 244146 2015-12-22
Greedy_snake\Greedy_snake_map.ngm 1404371 2015-12-22
Greedy_snake\Greedy_snake_map.xrpt 40002 2015-12-22
Greedy_snake\Greedy_snake_ngdbuild.xrpt 13371 2015-12-22
Greedy_snake\Greedy_snake_pad.csv 15087 2015-12-22
Greedy_snake\Greedy_snake_pad.txt 66034 2015-12-22
Greedy_snake\Greedy_snake_par.xrpt 173969 2015-12-22
Greedy_snake\Greedy_snake_summary.html 17889 2015-12-22
Greedy_snake\Greedy_snake_summary.xml 409 2015-12-22
Greedy_snake\Greedy_snake_usage.xml 56883 2015-12-22
Greedy_snake\Greedy_snake_xst.xrpt 19403 2015-12-22
Greedy_snake\ipcore_dir\coregen.cgp 238 2015-12-22
Greedy_snake\ipcore_dir\coregen.log 2953 2015-12-22
Greedy_snake\ipcore_dir\create_ex5_over_rom.tcl 1283 2015-12-22
Greedy_snake\ipcore_dir\create_ex5_start_rom.tcl 1284 2015-12-22
Greedy_snake\ipcore_dir\create_Snake_pll.tcl 1258 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\blk_mem_gen_v7_3_readme.txt 7721 2013-10-14
Greedy_snake\ipcore_dir\ex5_over_rom\doc\blk_mem_gen_v7_3_vinfo.html 8311 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\doc\pg058-blk-mem-gen.pdf 7207569 2013-10-14
Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.ucf 2684 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.vhd 4509 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.xdc 2654 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_prod.vhd 10205 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\implement.bat 1080 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\implement.sh 1063 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.bat 2689 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.sh 2584 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.tcl 3179 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\xst.prj 47 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\implement\xst.scr 233 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\addr_gen.vhd 4526 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\bmg_stim_gen.vhd 13011 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\bmg_tb_pkg.vhd 6206 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\ex5_over_rom_synth.vhd 7108 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\ex5_over_rom_tb.vhd 4514 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simcmds.tcl 2532 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_isim.bat 3008 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.bat 114 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.do 3068 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.sh 114 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_ncsim.sh 3029 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_vcs.sh 2931 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\ucli_commands.key 73 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\vcs_session.tcl 3306 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\wave_mti.do 907 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\wave_ncsim.sv 491 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\random.vhd 4220 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simcmds.tcl 2532 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_isim.bat 2924 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.bat 114 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.do 3093 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.sh 114 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_ncsim.sh 3202 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_vcs.sh 2861 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\ucli_commands.key 73 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\vcs_session.tcl 3320 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\wave_mti.do 907 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\wave_ncsim.sv 489 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.asy 355 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.gise 1380 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.mif 65790 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.ncf 0 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.ngc 57858 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.sym 1070 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.v 5759 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.veo 4204 2015-12-22
Greedy_snake\ipcore_dir\ex5_over_rom.xco 3333 2015-12-22

CodeBus www.codebus.net