Welcome![Sign In][Sign Up]
Location:
Downloads Documents Program doc
Title: 14_ethernet_test Download
  • Category:
  • Communication
  • Tags:
  • File Size:
  • 6.78mb
  • Update:
  • 2018-01-03
  • Downloads:
  • 0 Times
  • Uploaded by:
  • 王世坤
 Description: ethernet communication sample with verilog,state machine
 Downloaders recently: [More information of uploader 王世坤]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
14_ethernet_test\11.wcfg 2938 2015-11-04
14_ethernet_test\chipscope.cdc 7134 2015-11-04
14_ethernet_test\chipscope_icon.asy 193 2015-11-04
14_ethernet_test\chipscope_icon.constraints\chipscope_icon.ucf 375 2015-11-04
14_ethernet_test\chipscope_icon.constraints\chipscope_icon.xdc 793 2015-11-04
14_ethernet_test\chipscope_icon.gise 1282 2015-11-04
14_ethernet_test\chipscope_icon.ncf 375 2015-11-04
14_ethernet_test\chipscope_icon.ngc 31988 2015-11-04
14_ethernet_test\chipscope_icon.ucf 375 2015-11-04
14_ethernet_test\chipscope_icon.v 892 2015-11-04
14_ethernet_test\chipscope_icon.veo 1083 2015-11-04
14_ethernet_test\chipscope_icon.xco 1667 2015-11-04
14_ethernet_test\chipscope_icon.xdc 793 2015-11-04
14_ethernet_test\chipscope_icon_flist.txt 421 2015-11-04
14_ethernet_test\chipscope_icon_readme.txt 1246 2015-11-04
14_ethernet_test\chipscope_icon_xmdf.tcl 3321 2015-11-04
14_ethernet_test\chipscope_ila.asy 353 2015-11-04
14_ethernet_test\chipscope_ila.cdc 14677 2015-11-04
14_ethernet_test\chipscope_ila.constraints\chipscope_ila.ucf 440 2015-11-04
14_ethernet_test\chipscope_ila.constraints\chipscope_ila.xdc 477 2015-11-04
14_ethernet_test\chipscope_ila.gise 1279 2015-11-04
14_ethernet_test\chipscope_ila.ncf 384 2015-11-04
14_ethernet_test\chipscope_ila.ngc 919696 2015-11-04
14_ethernet_test\chipscope_ila.ucf 440 2015-11-04
14_ethernet_test\chipscope_ila.v 946 2015-11-04
14_ethernet_test\chipscope_ila.veo 1139 2015-11-04
14_ethernet_test\chipscope_ila.xco 4393 2015-11-04
14_ethernet_test\chipscope_ila.xdc 477 2015-11-04
14_ethernet_test\chipscope_ila_flist.txt 442 2015-11-04
14_ethernet_test\chipscope_ila_readme.txt 1277 2015-11-04
14_ethernet_test\chipscope_ila_xmdf.tcl 3301 2015-11-04
14_ethernet_test\coregen.cgc 63878 2015-11-04
14_ethernet_test\coregen.cgp 522 2015-11-04
14_ethernet_test\ethernet.bgn 8863 2015-11-04
14_ethernet_test\ethernet.bld 4055 2015-11-04
14_ethernet_test\ethernet.cfi 431 2015-11-04
14_ethernet_test\ethernet.cmd_log 123080 2015-11-04
14_ethernet_test\ethernet.drc 2630 2015-11-04
14_ethernet_test\ethernet.lso 6 2015-11-04
14_ethernet_test\ethernet.mcs 1276689 2015-11-04
14_ethernet_test\ethernet.ncd 488462 2015-11-04
14_ethernet_test\ethernet.ngc 130332 2015-11-04
14_ethernet_test\ethernet.ngd 1206764 2015-11-04
14_ethernet_test\ethernet.ngr 227093 2015-11-04
14_ethernet_test\ethernet.pad 14785 2015-11-04
14_ethernet_test\ethernet.par 11649 2015-11-04
14_ethernet_test\ethernet.pcf 154896 2015-11-04
14_ethernet_test\ethernet.prj 209 2015-11-04
14_ethernet_test\ethernet.prm 629 2015-11-04
14_ethernet_test\ethernet.ptwx 18301 2015-11-04
14_ethernet_test\ethernet.stx 0 2015-11-04
14_ethernet_test\ethernet.syr 49718 2015-11-04
14_ethernet_test\ethernet.twr 104158 2015-11-04
14_ethernet_test\ethernet.twx 125609 2015-11-04
14_ethernet_test\ethernet.unroutes 649 2015-11-04
14_ethernet_test\ethernet.ut 552 2015-11-04
14_ethernet_test\ethernet.xpi 46 2015-11-04
14_ethernet_test\ethernet.xst 1110 2015-11-04
14_ethernet_test\ethernet_bitgen.xwbt 246 2015-11-04
14_ethernet_test\ethernet_cs.blc 888 2015-11-04
14_ethernet_test\ethernet_cs.ngc 850670 2015-11-04
14_ethernet_test\ethernet_envsettings.html 14743 2015-11-04
14_ethernet_test\ethernet_guide.ncd 488462 2015-11-04
14_ethernet_test\ethernet_map.map 9262 2015-11-04
14_ethernet_test\ethernet_map.mrp 54458 2015-11-04
14_ethernet_test\ethernet_map.ncd 245758 2015-11-04
14_ethernet_test\ethernet_map.ngm 2000240 2015-11-04
14_ethernet_test\ethernet_map.xrpt 33072 2015-11-04
14_ethernet_test\ethernet_ngdbuild.xrpt 21411 2015-11-04
14_ethernet_test\ethernet_pad.csv 14817 2015-11-04
14_ethernet_test\ethernet_pad.txt 65691 2015-11-04
14_ethernet_test\ethernet_par.xrpt 169542 2015-11-04
14_ethernet_test\ethernet_summary.html 16934 2015-11-04
14_ethernet_test\ethernet_summary.xml 410 2015-11-04
14_ethernet_test\ethernet_test.bgn 6749 2015-11-04
14_ethernet_test\ethernet_test.bit 464312 2015-11-04
14_ethernet_test\ethernet_test.bld 2318 2015-11-04
14_ethernet_test\ethernet_test.cfi 464 2015-11-04
14_ethernet_test\ethernet_test.cmd_log 115975 2015-11-04
14_ethernet_test\ethernet_test.cpj 148778 2015-11-04
14_ethernet_test\ethernet_test.drc 204 2015-11-04
14_ethernet_test\ethernet_test.gise 14009 2016-02-25
14_ethernet_test\ethernet_test.lso 6 2015-11-04
14_ethernet_test\ethernet_test.ncd 309210 2015-11-04
14_ethernet_test\ethernet_test.ngc 354609 2015-11-04
14_ethernet_test\ethernet_test.ngd 528985 2015-11-04
14_ethernet_test\ethernet_test.ngr 478567 2015-11-04
14_ethernet_test\ethernet_test.pad 14495 2015-11-04
14_ethernet_test\ethernet_test.par 10429 2015-11-04
14_ethernet_test\ethernet_test.pcf 22675 2015-11-04
14_ethernet_test\ethernet_test.prj 182 2015-11-04
14_ethernet_test\ethernet_test.prm 695 2015-11-04
14_ethernet_test\ethernet_test.ptwx 17470 2015-11-04
14_ethernet_test\ethernet_test.stx 0 2015-11-04
14_ethernet_test\ethernet_test.syr 179047 2015-11-04
14_ethernet_test\ethernet_test.twr 49846 2015-11-04
14_ethernet_test\ethernet_test.twx 75721 2015-11-04
14_ethernet_test\ethernet_test.unroutes 336 2015-11-04
14_ethernet_test\ethernet_test.ut 552 2015-11-04
14_ethernet_test\ethernet_test.xise 38758 2015-11-04

CodeBus www.codebus.net