Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: dianti Download
 Description: dianti in verilog
 Downloaders recently: [More information of uploader 邓奇]
 To Search:
File list (Check if you may need any files):
 

dianti
......\.Xil
......\shiyan44dianti.cache
......\....................\compile_simlib
......\....................\..............\activehdl
......\....................\..............\ies
......\....................\..............\modelsim
......\....................\..............\questa
......\....................\..............\riviera
......\....................\..............\vcs
......\....................\wt
......\....................\..\java_command_handlers.wdf
......\....................\..\project.wpc
......\....................\..\synthesis.wdf
......\....................\..\synthesis_details.wdf
......\....................\..\webtalk_pa.xml
......\....................\..\xsim.wdf
......\shiyan44dianti.hw
......\.................\hw_1
......\.................\....\hw.xml
......\.................\....\wave
......\.................\shiyan44dianti.lpr
......\shiyan44dianti.ip_user_files
......\............................\ipstatic
......\............................\README.txt
......\shiyan44dianti.runs
......\...................\.jobs
......\...................\.....\vrs_config_1.xml
......\...................\.....\vrs_config_10.xml
......\...................\.....\vrs_config_11.xml
......\...................\.....\vrs_config_12.xml
......\...................\.....\vrs_config_13.xml
......\...................\.....\vrs_config_14.xml
......\...................\.....\vrs_config_15.xml
......\...................\.....\vrs_config_16.xml
......\...................\.....\vrs_config_17.xml
......\...................\.....\vrs_config_18.xml
......\...................\.....\vrs_config_19.xml
......\...................\.....\vrs_config_2.xml
......\...................\.....\vrs_config_20.xml
......\...................\.....\vrs_config_21.xml
......\...................\.....\vrs_config_22.xml
......\...................\.....\vrs_config_23.xml
......\...................\.....\vrs_config_24.xml
......\...................\.....\vrs_config_25.xml
......\...................\.....\vrs_config_3.xml
......\...................\.....\vrs_config_4.xml
......\...................\.....\vrs_config_5.xml
......\...................\.....\vrs_config_6.xml
......\...................\.....\vrs_config_7.xml
......\...................\.....\vrs_config_8.xml
......\...................\.....\vrs_config_9.xml
......\...................\impl_1
......\...................\......\.init_design.begin.rst
......\...................\......\.init_design.end.rst
......\...................\......\.opt_design.begin.rst
......\...................\......\.opt_design.end.rst
......\...................\......\.place_design.begin.rst
......\...................\......\.place_design.end.rst
......\...................\......\.route_design.begin.rst
......\...................\......\.route_design.end.rst
......\...................\......\.vivado.begin.rst
......\...................\......\.vivado.end.rst
......\...................\......\.Vivado_Implementation.queue.rst
......\...................\......\.write_bitstream.begin.rst
......\...................\......\.write_bitstream.end.rst
......\...................\......\.Xil
......\...................\......\gen_run.xml
......\...................\......\htr.txt
......\...................\......\init_design.pb
......\...................\......\ISEWrap.js
......\...................\......\ISEWrap.sh
......\...................\......\opt_design.pb
......\...................\......\place_design.pb
......\...................\......\project.wdf
......\...................\......\route_design.pb
......\...................\......\rundef.js
......\...................\......\runme.bat
......\...................\......\runme.log
......\...................\......\runme.sh
......\...................\......\top.bit
......\...................\......\top.tcl
......\...................\......\top.vdi
......\...................\......\top_11092.backup.vdi
......\...................\......\top_14068.backup.vdi
......\...................\......\top_4408.backup.vdi
......\...................\......\top_clock_utilization_routed.r

CodeBus www.codebus.net