Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: project_2_10010 Download
 Description: A program for detecting sequence '10010' powered by vivado 2014.4
 Downloaders recently: [More information of uploader 林志文]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
project_2_10010
project_2_10010\project_2_10010.cache
project_2_10010\project_2_10010.cache\compile_simlib
project_2_10010\project_2_10010.cache\wt
project_2_10010\project_2_10010.cache\wt\java_command_handlers.wdf 281 2017-12-23
project_2_10010\project_2_10010.cache\wt\synthesis.wdf 3282 2017-12-14
project_2_10010\project_2_10010.cache\wt\synthesis_details.wdf 100 2017-12-14
project_2_10010\project_2_10010.cache\wt\webtalk_pa.xml 1479 2017-12-23
project_2_10010\project_2_10010.cache\wt\xsim.wdf 256 2017-12-23
project_2_10010\project_2_10010.runs
project_2_10010\project_2_10010.runs\.jobs
project_2_10010\project_2_10010.runs\.jobs\vrs_config_1.xml 232 2017-12-14
project_2_10010\project_2_10010.runs\synth_1
project_2_10010\project_2_10010.runs\synth_1\.Vivado_Synthesis.queue.rst
project_2_10010\project_2_10010.runs\synth_1\.Xil
project_2_10010\project_2_10010.runs\synth_1\.vivado.begin.rst 178 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\.vivado.end.rst
project_2_10010\project_2_10010.runs\synth_1\ISEWrap.js 4766 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\ISEWrap.sh 1622 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\gen_run.xml 1806 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\htr.txt 374 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\project.wdf 1837 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\rundef.js 1266 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\runme.bat 229 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\runme.log 17656 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\runme.sh 1095 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\string10010.dcp 3625 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\string10010.tcl 1183 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\string10010.vds 17560 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\string10010_utilization_synth.pb 231 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\string10010_utilization_synth.rpt 7150 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\vivado.jou 535 2017-12-14
project_2_10010\project_2_10010.runs\synth_1\vivado.pb 26415 2017-12-14
project_2_10010\project_2_10010.sim
project_2_10010\project_2_10010.sim\sim_1
project_2_10010\project_2_10010.sim\sim_1\behav
project_2_10010\project_2_10010.sim\sim_1\behav\.Xil
project_2_10010\project_2_10010.sim\sim_1\behav\.Xil\Webtalk-7764-ll-PC
project_2_10010\project_2_10010.sim\sim_1\behav\.Xil\Webtalk-7764-ll-PC\webtalk
project_2_10010\project_2_10010.sim\sim_1\behav\.Xil\Webtalk-9324-ll-PC
project_2_10010\project_2_10010.sim\sim_1\behav\.Xil\Webtalk-9324-ll-PC\webtalk
project_2_10010\project_2_10010.sim\sim_1\behav\compile.bat 280 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\compile.log 679 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\elaborate.bat 410 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\elaborate.log 809 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\glbl.v 1470 2017-12-21
project_2_10010\project_2_10010.sim\sim_1\behav\simulate.bat 292 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\simulate.log 50 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\string_tb.tcl 460 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\string_tb_behav.wdb 11825 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\string_tb_vlog.prj 276 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\webtalk.jou 676 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\webtalk.log 1181 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\webtalk_9324.backup.jou 676 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\webtalk_9324.backup.log 1181 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xelab.pb 1623 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\Compile_Options.txt 236 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\webtalk
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\webtalk\.xsim_webtallk.info 64 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\webtalk\usage_statistics_ext_xsim.html 3281 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\webtalk\usage_statistics_ext_xsim.xml 2884 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.dbg 7900 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.mem 16340 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.reloc 4788 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.rtti 182 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.svtype 8 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.type 24 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsim.xdbg 1040 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsimcrash.log
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsimk.exe 118553 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\string_tb_behav\xsimkernel.log 332 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\xil_defaultlib
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\xil_defaultlib\glbl.sdb 3653 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\xil_defaultlib\string10010.sdb 3344 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\xil_defaultlib\string_tb.sdb 1492 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xsim.dir\xsim.svtype 8 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\behav\xvlog.pb 1141 2017-12-23
project_2_10010\project_2_10010.sim\sim_1\synth
project_2_10010\project_2_10010.sim\sim_1\synth\timing
project_2_10010\project_2_10010.sim\sim_1\synth\timing\.Xil
project_2_10010\project_2_10010.sim\sim_1\synth\timing\.Xil\Webtalk-7224-ll-PC
project_2_10010\project_2_10010.sim\sim_1\synth\timing\.Xil\Webtalk-7224-ll-PC\webtalk
project_2_10010\project_2_10010.sim\sim_1\synth\timing\.Xil\Webtalk-7700-ll-PC
project_2_10010\project_2_10010.sim\sim_1\synth\timing\.Xil\Webtalk-7700-ll-PC\webtalk
project_2_10010\project_2_10010.sim\sim_1\synth\timing\compile.bat 272 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\compile.log 882 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\elaborate.bat 451 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\elaborate.log 1543 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\glbl.v 1470 2017-10-21
project_2_10010\project_2_10010.sim\sim_1\synth\timing\simulate.bat 289 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\simulate.log 50 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\string_tb.tcl 460 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\string_tb_time_synth.sdf 9555 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\string_tb_time_synth.v 5054 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\string_tb_time_synth.wdb 13577 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\string_tb_vlog.prj 244 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\webtalk.jou 681 2017-12-14
project_2_10010\project_2_10010.sim\sim_1\synth\timing\webtalk.log 1234 2017-12-14

CodeBus www.codebus.net