Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: de2_build Download
 Description: De2_build: It contains the FPGA configuration file of the comprehensive Nios II system in Section 16.10.2 and software image files for the DE2 board. These files can be used for quick demo or software development. Note that the files can only be used for the DE2 board. Detailed use is explained in the pdf file within the directory
 Downloaders recently: [More information of uploader David Ouoba ]
 To Search:
File list (Check if you may need any files):
de2_build\ch16_ps2_de2.elf
de2_build\ch17_vga_de2.elf
de2_build\ch18_audio_de2.elf
de2_build\ch19_sdc_de2.elf
de2_build\ch20_gcd_de2.elf
de2_build\ch21_fractal_de2.elf
de2_build\ch22_ddfs_de2.elf
de2_build\nios_p34_de2.sopcinfo
de2_build\p34_top_de2.sof
de2_build\sopc_vhdl_DE2_build.pdf
de2_build

CodeBus www.codebus.net