Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: audio_test Download
 Description: FPGA WM8731 CODEC record & play demo
 Downloaders recently: [More information of uploader 徐凡]
 To Search:
File list (Check if you may need any files):
 

audio_test\audio_test.asm.rpt
..........\audio_test.cdf
..........\audio_test.csv
..........\audio_test.done
..........\audio_test.dpf
..........\audio_test.fit.rpt
..........\audio_test.fit.smsg
..........\audio_test.fit.summary
..........\audio_test.flow.rpt
..........\audio_test.jdi
..........\audio_test.map.rpt
..........\audio_test.map.summary
..........\audio_test.pin
..........\audio_test.qpf
..........\audio_test.qsf
..........\audio_test.qsf.bak
..........\audio_test.sof
..........\audio_test.sta.rpt
..........\audio_test.sta.summary
..........\Chain1.cdf
..........\Chain9.cdf
..........\db\altsyncram_0124.tdf
..........\..\altsyncram_2424.tdf
..........\..\altsyncram_6424.tdf
..........\..\altsyncram_mf51.tdf
..........\..\alt_synch_pipe_d98.tdf
..........\..\alt_synch_pipe_ud8.tdf
..........\..\alt_synch_pipe_vd8.tdf
..........\..\audio_test.amm.cdb
..........\..\audio_test.asm.qmsg
..........\..\audio_test.asm.rdb
..........\..\audio_test.asm_labs.ddb
..........\..\audio_test.cbx.xml
..........\..\audio_test.cmp.bpm
..........\..\audio_test.cmp.cdb
..........\..\audio_test.cmp.hdb
..........\..\audio_test.cmp.kpt
..........\..\audio_test.cmp.logdb
..........\..\audio_test.cmp.rdb
..........\..\audio_test.cmp_merge.kpt
..........\..\audio_test.cycloneive_io_sim_cache.31um_ff_1200mv_0c_fast.hsd
..........\..\audio_test.cycloneive_io_sim_cache.31um_ss_1200mv_0c_slow.hsd
..........\..\audio_test.cycloneive_io_sim_cache.31um_ss_1200mv_85c_slow.hsd
..........\..\audio_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
..........\..\audio_test.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
..........\..\audio_test.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
..........\..\audio_test.db_info
..........\..\audio_test.fit.qmsg
..........\..\audio_test.hier_info
..........\..\audio_test.hif
..........\..\audio_test.idb.cdb
..........\..\audio_test.lpc.html
..........\..\audio_test.lpc.rdb
..........\..\audio_test.lpc.txt
..........\..\audio_test.map.bpm
..........\..\audio_test.map.cdb
..........\..\audio_test.map.hdb
..........\..\audio_test.map.kpt
..........\..\audio_test.map.logdb
..........\..\audio_test.map.qmsg
..........\..\audio_test.map_bb.cdb
..........\..\audio_test.map_bb.hdb
..........\..\audio_test.map_bb.logdb
..........\..\audio_test.pre_map.cdb
..........\..\audio_test.pre_map.hdb
..........\..\audio_test.rtlv.hdb
..........\..\audio_test.rtlv_sg.cdb
..........\..\audio_test.rtlv_sg_swap.cdb
..........\..\audio_test.sgdiff.cdb
..........\..\audio_test.sgdiff.hdb
..........\..\audio_test.sld_design_entry.sci
..........\..\audio_test.sld_design_entry_dsc.sci
..........\..\audio_test.smart_action.txt
..........\..\audio_test.smp_dump.txt
..........\..\audio_test.sta.qmsg
..........\..\audio_test.sta.rdb
..........\..\audio_test.sta_cmp.8_slow_1200mv_85c.tdb
..........\..\audio_test.syn_hier_info
..........\..\audio_test.tiscmp.fastest_slow_1200mv_0c.ddb
..........\..\audio_test.tiscmp.fastest_slow_1200mv_85c.ddb
..........\..\audio_test.tiscmp.fast_1200mv_0c.ddb
..........\..\audio_test.tiscmp.slow_1200mv_0c.ddb
..........\..\audio_test.tiscmp.slow_1200mv_85c.ddb
..........\..\audio_test.tis_db_list.ddb
..........\..\a_gray2bin_6ib.tdf
..........\..\a_graycounter_1lc.tdf
..........\..\a_graycounter_577.tdf
..........\..\cmpr_b66.tdf
..........\..\cmpr_ngc.tdf
..........\..\cmpr_rgc.tdf
..........\..\cmpr_sgc.tdf
..........\..\cmpr_tgc.tdf
..........\..\cntr_1ii.tdf
..........\..\cntr_23j.tdf
..........\..\cntr_3ii.tdf
..........\..\cntr_egi.tdf
..........\..\cntr_fgi.tdf
..........\..\cntr_g9j.tdf
..........\..\dcfifo_4en1.tdf
..........\..\dcfifo_nen1.tdf
    

CodeBus www.codebus.net