Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: project_2 Download
 Description: Realized FPGA-based FFT transform, starting with the most basic 32-bit binary floating-point addition and subtraction multiplication module, a FFT module assembly. At the same time simulation files in 32-bit floating-point number is converted to a real number of simulation modules for debugging
 Downloaders recently: [More information of uploader 韦壮焜]
 To Search:
File list (Check if you may need any files):
 

project_2
.........\project_2.cache
.........\...............\compile_simlib
.........\...............\wt
.........\...............\..\java_command_handlers.wdf
.........\...............\..\synthesis.wdf
.........\...............\..\synthesis_details.wdf
.........\...............\..\webtalk_pa.xml
.........\...............\..\xsim.wdf
.........\project_2.hw
.........\............\project_2.lpr
.........\project_2.ioplanning
.........\....................\constrs_1
.........\project_2.runs
.........\..............\.jobs
.........\..............\.....\vrs_config_1.xml
.........\..............\.....\vrs_config_10.xml
.........\..............\.....\vrs_config_11.xml
.........\..............\.....\vrs_config_2.xml
.........\..............\.....\vrs_config_3.xml
.........\..............\.....\vrs_config_4.xml
.........\..............\.....\vrs_config_5.xml
.........\..............\.....\vrs_config_6.xml
.........\..............\.....\vrs_config_7.xml
.........\..............\.....\vrs_config_8.xml
.........\..............\.....\vrs_config_9.xml
.........\..............\synth_1
.........\..............\.......\.Vivado_Synthesis.queue.rst
.........\..............\.......\.Xil
.........\..............\.......\.vivado.begin.rst
.........\..............\.......\.vivado.end.rst
.........\..............\.......\ISEWrap.js
.........\..............\.......\ISEWrap.sh
.........\..............\.......\fft.dcp
.........\..............\.......\fft.tcl
.........\..............\.......\fft.vds
.........\..............\.......\fft_utilization_synth.pb
.........\..............\.......\fft_utilization_synth.rpt
.........\..............\.......\gen_run.xml
.........\..............\.......\htr.txt
.........\..............\.......\project.wdf
.........\..............\.......\rundef.js
.........\..............\.......\runme.bat
.........\..............\.......\runme.log
.........\..............\.......\runme.sh
.........\..............\.......\vivado.jou
.........\..............\.......\vivado.pb
.........\project_2.sim
.........\.............\sim_1
.........\.............\.....\behav
.........\.............\.....\.....\.Xil
.........\.............\.....\.....\....\Webtalk-2012-
.........\.............\.....\.....\....\.............\webtalk
.........\.............\.....\.....\....\Webtalk-2388-
.........\.............\.....\.....\....\.............\webtalk
.........\.............\.....\.....\compile.bat
.........\.............\.....\.....\compile.log
.........\.............\.....\.....\elaborate.bat
.........\.............\.....\.....\elaborate.log
.........\.............\.....\.....\project1_bench.tcl
.........\.............\.....\.....\project1_bench_behav.wdb
.........\.............\.....\.....\project1_bench_vhdl.prj
.........\.............\.....\.....\simulate.bat
.........\.............\.....\.....\simulate.log
.........\.............\.....\.....\webtalk.jou
.........\.............\.....\.....\webtalk.log
.........\.............\.....\.....\webtalk_2388.backup.jou
.........\.............\.....\.....\webtalk_2388.backup.log
.........\.............\.....\.....\xelab.pb
.........\.............\.....\.....\xsim.dir
.........\.............\.....\.....\........\project1_bench_behav
.........\.............\.....\.....\........\....................\Compile_Options.txt
.........\.............\.....\.....\........\....................\webtalk
.........\.............\.....\.....\........\....................\.......\.xsim_webtallk.info
.........\.............\.....\.....\........\....................\.......\usage_statistics_ext_xsim.html
.........\.............\.....\.....\........\....................\.......\usage_statistics_ext_xsim.xml
.........\.............\.....\.....\........\....................\xsim.dbg
.........\.............\.....\.....\........\....................\xsim.mem
.........\.............\.....\.....\........\....................\xsim.reloc
.........\.............\.....\.....\........\....................\xsim.rtti
.........\.............\.....\.....\........\....................\xsim.svtype
.........\.............\.....\.....

CodeBus www.codebus.net