Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: fft_test Download
 Description: FFT IP core of timing simulation ALTERA, verilog language. Using burst mode, FFT points 2048 points
 Downloaders recently: [More information of uploader vincentspace]
 To Search:
File list (Check if you may need any files):
 

fft_test\db\add_sub_2jj.tdf
........\..\add_sub_6jj.tdf
........\..\add_sub_fvj.tdf
........\..\altsyncram_2j72.tdf
........\..\altsyncram_3j72.tdf
........\..\altsyncram_4j72.tdf
........\..\altsyncram_7jp3.tdf
........\..\altsyncram_aof1.tdf
........\..\altsyncram_ti72.tdf
........\..\altsyncram_ui72.tdf
........\..\altsyncram_vi72.tdf
........\..\a_dpfifo_5k81.tdf
........\..\cmpr_un8.tdf
........\..\cntr_bjb.tdf
........\..\cntr_cjb.tdf
........\..\cntr_oj7.tdf
........\..\ded_mult_e291.tdf
........\..\dffpipe_93c.tdf
........\..\fft_test.asm.qmsg
........\..\fft_test.asm.rdb
........\..\fft_test.asm_labs.ddb
........\..\fft_test.cbx.xml
........\..\fft_test.cmp.bpm
........\..\fft_test.cmp.cdb
........\..\fft_test.cmp.ecobp
........\..\fft_test.cmp.hdb
........\..\fft_test.cmp.kpt
........\..\fft_test.cmp.logdb
........\..\fft_test.cmp.rdb
........\..\fft_test.cmp.tdb
........\..\fft_test.cmp0.ddb
........\..\fft_test.cmp2.ddb
........\..\fft_test.cmp_merge.kpt
........\..\fft_test.db_info
........\..\fft_test.eco.cdb
........\..\fft_test.eda.qmsg
........\..\fft_test.fit.qmsg
........\..\fft_test.hier_info
........\..\fft_test.hif
........\..\fft_test.lpc.html
........\..\fft_test.lpc.rdb
........\..\fft_test.lpc.txt
........\..\fft_test.map.bpm
........\..\fft_test.map.cdb
........\..\fft_test.map.ecobp
........\..\fft_test.map.hdb
........\..\fft_test.map.kpt
........\..\fft_test.map.logdb
........\..\fft_test.map.qmsg
........\..\fft_test.map_bb.cdb
........\..\fft_test.map_bb.hdb
........\..\fft_test.map_bb.logdb
........\..\fft_test.pre_map.cdb
........\..\fft_test.pre_map.hdb
........\..\fft_test.rtlv.hdb
........\..\fft_test.rtlv_sg.cdb
........\..\fft_test.rtlv_sg_swap.cdb
........\..\fft_test.sgdiff.cdb
........\..\fft_test.sgdiff.hdb
........\..\fft_test.sld_design_entry.sci
........\..\fft_test.sld_design_entry_dsc.sci
........\..\fft_test.smart_action.txt
........\..\fft_test.smp_dump.txt
........\..\fft_test.syn_hier_info
........\..\fft_test.tan.qmsg
........\..\fft_test.tis_db_list.ddb
........\..\logic_util_heursitic.dat
........\..\mult_add_n7f2.tdf
........\..\mult_add_o8f2.tdf
........\..\prev_cmp_fft_test.asm.qmsg
........\..\prev_cmp_fft_test.eda.qmsg
........\..\prev_cmp_fft_test.fit.qmsg
........\..\prev_cmp_fft_test.map.qmsg
........\..\prev_cmp_fft_test.qmsg
........\..\prev_cmp_fft_test.tan.qmsg
........\..\scfifo_c9h1.tdf
........\exponent_out_c_model.txt
........\fft-library\asj_fft_1dp_ram_fft_91.vhd
........\...........\asj_fft_1tdp_rom_fft_91.vhd
........\...........\asj_fft_3dp_rom_fft_91.vhd
........\...........\asj_fft_3pi_mram_fft_91.vhd
........\...........\asj_fft_3tdp_rom_fft_91.vhd
........\...........\asj_fft_4dp_ram_fft_91.vhd
........\...........\asj_fft_6tdp_rom_fft_91.vhd
........\...........\asj_fft_alt_shift_tdl_fft_91.vhd
........\...........\asj_fft_bfp_ctrl_fft_91.vhd
........\...........\asj_fft_bfp_i_1pt_fft_91.vhd
........\...........\asj_fft_bfp_i_fft_91.vhd
........\...........\asj_fft_bfp_o_1pt_fft_91.vhd
........\...........\asj_fft_bfp_o_fft_91.vhd
........\...........\asj_fft_burst_ctrl_de_fft_91.vhd
........\...........\asj_fft_burst_ctrl_fft_91.vhd
........\...........\asj_fft_burst_ctrl_qe_fft_91.vhd
........\...........\asj_fft_cmult_can_fft_91.vhd
........\...........\asj_fft_cmult_std_fft_91.vhd
........\...........\asj_fft_cnt_ctrl_de_fft_91.vhd
........\...........\asj_fft_cnt_ctrl_fft_91.vhd
........\...........\asj_fft_cxb_addr_fft_91.vhd
........\...........\asj_fft_cxb_data_fft_91.vhd
........\...........\asj_fft_cxb_data_mram_fft_91.vhd
    

CodeBus www.codebus.net