Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: seq_detector Download
 Description: The 3-bit binary sequence of any detector (e.g., 101,110,001, etc.). A three-bit sequence is detected from an arbitrary sequence. Includes VHDL source code and testbench test source program.
 Downloaders recently: [More information of uploader 10086]
 To Search:
File list (Check if you may need any files):
 

seq_detector2.vht
test222.vhd
    

CodeBus www.codebus.net