Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: _12_DA[TLC5615]_1 Download
 Description: DA output sine wave frequency 1KHz The ad is TLC5615 The sine wave output with the ideal waveform is basically the same
 Downloaders recently: [More information of uploader 江风]
 To Search:
File list (Check if you may need any files):
 

_12_DA[TLC5615]_1\Modelsim\DAC.cr.mti
.................\........\DAC.mpf
.................\........\sim.do
.................\........\vsim.wlf
.................\........\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.asm
.................\........\....\..........................................\_primary.dat
.................\........\....\..........................................\_primary.vhd
.................\........\....\..............m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\_primary.dat
.................\........\....\...............................................\_primary.vhd
.................\........\....\...................m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\verilog.asm
.................\........\....\...........................................................\_primary.dat
.................\........\....\...........................................................\_primary.vhd
.................\........\....\.d@a@c_5615_@c@t@l\verilog.asm
.................\........\....\..................\_primary.dat
.................\........\....\..................\_primary.vhd
.................\........\....\.................._tb\_primary.dat
.................\........\....\.....................\_primary.vhd
.................\........\....\.......@s@i@n@e_1@k\verilog.asm
.................\........\....\...................\_primary.dat
.................\........\....\...................\_primary.vhd
.................\........\....\..................._tb\verilog.asm
.................\........\....\......................\_primary.dat
.................\........\....\......................\_primary.vhd
.................\........\....\.m@f_cycloneiii_pll\_primary.dat
.................\........\....\...................\_primary.vhd
.................\........\....\.....pll_reg\_primary.dat
.................\........\....\............\_primary.vhd
.................\........\....\.....stratixiii_pll\_primary.dat
.................\........\....\...................\_primary.vhd
.................\........\....\.............._pll\_primary.dat
.................\........\....\..................\_primary.vhd
.................\........\....\............_pll\_primary.dat
.................\........\....\................\_primary.vhd
.................\........\....\.r@o@m\verilog.asm
.................\........\....\......\_primary.dat
.................\........\....\......\_primary.vhd
.................\........\....\alt3pram\_primary.dat
.................\........\....\........\_primary.vhd
.................\........\....\...accumulate\_primary.dat
.................\........\....\.............\_primary.vhd
.................\........\....\...cam\_primary.dat
.................\........\....\......\_primary.vhd
.................\........\....\....lklock\_primary.dat
.................\........\....\..........\_primary.vhd
.................\........\....\...ddio_bidir\_primary.dat
.................\........\....\.............\_primary.vhd
.................\........\....\........in\_primary.dat
.................\........\....\..........\_primary.vhd
.................\........\....\........out\_primary.dat
.................\........\....\...........\_primary.vhd
.................\........\....\....pram\_primary.dat
.................\........\....\........\_primary.vhd
.................\........\....\....q_dqs\_primary.dat
.................\........\....\.........\_primary.vhd
.................\........\....\...era_std_synchronizer\_primary.dat
.................\........\....\.......................\_primary.vhd
.................\........\....\......................._bundle\_primary.dat
.................\........\....\..............................\_primary.vhd
.................\........\....\...fp_mult\_primary.dat
.................\........\....\..........\_primary.vhd
.................\........\....\...lvds_rx\_primary.dat
.................\........\....\..........\_primary.vhd
.................\........\....\........tx\_primary.dat
.................\........\....\..........\_primary.vhd
.................\........\....\...mult_accum\_prim

CodeBus www.codebus.net