Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: NAND_flash_verilog_vhdl Download
 Description: NAND Flash Controller Reference Design === === === === === === === === === === === === === = File List 1. RD1055/doc/rd1055.pdf --> NAND Flash Controller Reference Design document RD1055/doc/rd1055_readme.txt --> Read me file (this file) 2. RD1055/Project/nand_flash_cntl.lpf --> preference file for the design RD1055/Project/nfcm_tb_vhd.udo_example --> vital glitch removal example 3. /RD1055/simulation/verilog/rtl_verilog.do --> verilog rtl simulation script /RD1055/simulation/verilog/timing_verilog.do --> verilog timing simulation script /RD1055/simulation/vhdl/rtl_verilog.do --> vhdl rtl simulation script /RD1055/simulation/vhdl/timing_verilog.do --> vhdl timing simulation script 4. RD1055/source/verilog/ACounter.v --> sourc
 Downloaders recently: [More information of uploader cuiwei]
 To Search:
File list (Check if you may need any files):
 

NAND_flash_verilog_vhdl
.......................\doc
.......................\...\rd1055.pdf
.......................\...\rd1055_readme.txt
.......................\project
.......................\.......\nand_flash_cntl.lpf
.......................\.......\nfcm_tb_vhd.udo_example
.......................\simulation
.......................\..........\verilog
.......................\..........\.......\rtl_verilog.do
.......................\..........\.......\timing_verilog.do
.......................\..........\vhdl
.......................\..........\....\rtl_vhdl.do
.......................\..........\....\timing_vhdl.do
.......................\source
.......................\......\verilog
.......................\......\.......\ACounter.v
.......................\......\.......\ErrLoc.v
.......................\......\.......\H_gen.v
.......................\......\.......\ipexpress
.......................\......\.......\.........\xo
.......................\......\.......\.........\xo2
.......................\......\.......\.........\...\ebr_buffer.lpc
.......................\......\.......\.........\...\ebr_buffer.v
.......................\......\.......\.........\..\ebr_buffer.lpc
.......................\......\.......\.........\..\ebr_buffer.v
.......................\......\.......\.........\xp2
.......................\......\.......\.........\...\ebr_buffer.lpc
.......................\......\.......\.........\...\ebr_buffer.v
.......................\......\.......\MFSM.v
.......................\......\.......\nfcm_top.v
.......................\......\.......\nfcm_top.vhd
.......................\......\.......\TFSM.v
.......................\......\vhdl
.......................\......\....\ACounter.vhd
.......................\......\....\ErrLoc.vhd
.......................\......\....\H_gen.vhd
.......................\......\....\ipexpress
.......................\......\....\.........\xo
.......................\......\....\.........\xo2
.......................\......\....\.........\...\ebr_buffer.lpc
.......................\......\....\.........\...\ebr_buffer.vhd
.......................\......\....\.........\..\ebr_buffer.lpc
.......................\......\....\.........\..\ebr_buffer.vhd
.......................\......\....\.........\xp2
.......................\......\....\.........\...\ebr_buffer.lpc
.......................\......\....\.........\...\ebr_buffer.vhd
.......................\......\....\MFSM.vhd
.......................\......\....\nfcm_top.vhd
.......................\......\....\TFSM.vhd
.......................\testbench
.......................\.........\verilog
.......................\.........\.......\flash_interface.v
.......................\.........\.......\nfcm_tb.v
.......................\.........\vhdl
.......................\.........\....\flash_interface.vhd
.......................\.........\....\nfcm_tb.vhd
RD1055
......\doc
......\...\rd1055.pdf
......\...\rd1055_readme.txt
......\project
......\.......\nand_flash_cntl.lpf
......\.......\nfcm_tb_vhd.udo_example
......\simulation
......\..........\verilog
......\..........\.......\rtl_verilog.do
......\..........\.......\timing_verilog.do
......\..........\vhdl
......\..........\....\rtl_vhdl.do
......\..........\....\timing_vhdl.do
......\source
......\......\verilog
......\......\.......\ACounter.v
......\......\.......\ErrLoc.v
......\......\.......\H_gen.v
......\......\.......\ipexpress
......\......\.......\.........\xo
......\......\.......\.........\xo2
......\......\.......\.........\...\ebr_buffer.lpc
......\......\.......\.........\...\ebr_buffer.v
......\......\.......\.........\..\ebr_buffer.lpc
......\......\.......\.........\..\ebr_buffer.v
......\......\.......\.........\xp2
......\......\.......\.........\...\ebr_buffer.lpc
......\......\.......\.........\...\ebr_buffer.v
......\......\.......\MFSM.v
......\......\.......\nfcm_top.v
......\......\.......\nfcm_top.vhd
......\......\.......\TFSM.v
......\......\vhdl
......\......\....\ACounter.vhd
......\......\....\ErrLoc.vhd
......\......\....\H_gen.vhd
......\......\....\ipexpress
...

CodeBus www.codebus.net