Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PS2VHDL Download
 Description: Using VHDL language with a PS2 keyboard program, with digital control to display the keyboard asii values have Debugging verified.
 Downloaders recently: [More information of uploader 曾小曾]
 To Search:
File list (Check if you may need any files):
 

31实验三十一:PS2键盘接口 B\db\keyboardVhdl.asm.qmsg
...........................\..\keyboardVhdl.asm_labs.ddb
...........................\..\keyboardVhdl.cbx.xml
...........................\..\keyboardVhdl.cmp.cdb
...........................\..\keyboardVhdl.cmp.hdb
...........................\..\keyboardVhdl.cmp.kpt
...........................\..\keyboardVhdl.cmp.logdb
...........................\..\keyboardVhdl.cmp.rdb
...........................\..\keyboardVhdl.cmp.tdb
...........................\..\keyboardVhdl.cmp0.ddb
...........................\..\keyboardVhdl.cmp2.ddb
...........................\..\keyboardVhdl.db_info
...........................\..\keyboardVhdl.eco.cdb
...........................\..\keyboardVhdl.fit.qmsg
...........................\..\keyboardVhdl.hier_info
...........................\..\keyboardVhdl.hif
...........................\..\keyboardVhdl.lpc.html
...........................\..\keyboardVhdl.lpc.rdb
...........................\..\keyboardVhdl.lpc.txt
...........................\..\keyboardVhdl.map.cdb
...........................\..\keyboardVhdl.map.hdb
...........................\..\keyboardVhdl.map.logdb
...........................\..\keyboardVhdl.map.qmsg
...........................\..\keyboardVhdl.pre_map.cdb
...........................\..\keyboardVhdl.pre_map.hdb
...........................\..\keyboardVhdl.rtlv.hdb
...........................\..\keyboardVhdl.rtlv_sg.cdb
...........................\..\keyboardVhdl.rtlv_sg_swap.cdb
...........................\..\keyboardVhdl.sgdiff.cdb
...........................\..\keyboardVhdl.sgdiff.hdb
...........................\..\keyboardVhdl.sld_design_entry.sci
...........................\..\keyboardVhdl.sld_design_entry_dsc.sci
...........................\..\keyboardVhdl.syn_hier_info
...........................\..\keyboardVhdl.tan.qmsg
...........................\..\keyboardVhdl.tis_db_list.ddb
...........................\..\keyboardVhdl.tmw_info
...........................\..\prev_cmp_keyboardVhdl.asm.qmsg
...........................\..\prev_cmp_keyboardVhdl.fit.qmsg
...........................\..\prev_cmp_keyboardVhdl.map.qmsg
...........................\..\prev_cmp_keyboardVhdl.qmsg
...........................\..\prev_cmp_keyboardVhdl.tan.qmsg
...........................\incremental_db\compiled_partitions\keyboardVhdl.root_partition.map.kpt
...........................\..............\README
...........................\keyboardVhdl.asm.rpt
...........................\keyboardVhdl.cdf
...........................\keyboardVhdl.done
...........................\keyboardVhdl.dpf
...........................\keyboardVhdl.fit.rpt
...........................\keyboardVhdl.fit.smsg
...........................\keyboardVhdl.fit.summary
...........................\keyboardVhdl.flow.rpt
...........................\keyboardVhdl.hexout
...........................\keyboardVhdl.map.rpt
...........................\keyboardVhdl.map.summary
...........................\keyboardVhdl.pin
...........................\keyboardVhdl.pof
...........................\keyboardVhdl.qpf
...........................\keyboardVhdl.qsf
...........................\keyboardVhdl.qws
...........................\keyboardVhdl.sof
...........................\keyboardVhdl.tan.rpt
...........................\keyboardVhdl.tan.summary
...........................\keyboardVhdl.ttf
...........................\keyboardVhdl.vhd
...........................\keyboardVhdl.vhd.bak
...........................\keyboardVhdl_assignment_defaults.qdf
...........................\output_file.map
...........................\PL_FSK2\db\PL_FSK2.asm.qmsg
...........................\.......\..\PL_FSK2.asm_labs.ddb
...........................\.......\..\PL_FSK2.cbx.xml
...........................\.......\..\PL_FSK2.cmp.cdb
...........................\.......\..\PL_FSK2.cmp.hdb
...........................\.......\..\PL_FSK2.cmp.kpt
...........................\.......\..\PL_FSK2.cmp.logdb
...........................\.......\..\PL_FSK2.cmp.rdb
...........................\.......\..\PL_FSK2.cmp.tdb
..................

CodeBus www.codebus.net