Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: mini80E Download
 Description: the mini80E development board, the development plate circuit diagram and STC89C51 of SCM process, rich source, you learn 51 microcontroller multiplier
 Downloaders recently: [More information of uploader 留侯]
 To Search:
File list (Check if you may need any files):
 

1、配套程序\1.77寸彩屏程序\1.77寸 TFT彩屏使用文档.pdf
...........\..............\.28x160图片\12155631256021950.bmp
...........\..............\...........\12155633D3P54019.bmp
...........\..............\...........\1a988e791623d50d2090.bmp
...........\..............\...........\1a988e791623d50d2090.gif
...........\..............\...........\1a988e791967c2d740f8.bmp
...........\..............\...........\1a988e791967c2d740f8.gif
...........\..............\...........\1a988e7923402bd1b0ff3.bmp
...........\..............\...........\1a988e7923402bd1b0ff3.jpg
...........\..............\...........\1a988e792442f7e01298.bmp
...........\..............\...........\1a988e792442f7e01298.jpg
...........\..............\...........\1a988e7958352400b792.bmp
...........\..............\...........\1a988e795998ab18e0a5.gif
...........\..............\...........\1a988e796054a5050298.bmp
...........\..............\...........\1a988e796054a5050298.jpg
...........\..............\...........\7a90a25c2rqfcqh.bmp
...........\..............\...........\奔马.bin
...........\..............\...........\奔马.c
...........\..............\...........\女人.c
...........\..............\...........\女人1.c
...........\..............\...........\女人2.c
...........\..............\...........\烦.c
...........\..............\...........\猪头.c
...........\..............\...........\猫.bin
...........\..............\...........\猫.c
...........\..............\...........\米老鼠.bin
...........\..............\...........\米老鼠.c
...........\..............\...........\美女1.bin
...........\..............\...........\美女2.bin
...........\..............\DS_HX8345-A_V02.pdf
...........\..............\.Y_1100开发板 竖屏写汉字实验\8x16.h
...........\..............\............................\8x8h.h
...........\..............\............................\GB1616.h
...........\..............\............................\ILI9163
...........\..............\............................\ILI9163.C
...........\..............\............................\ILI9163.hex
...........\..............\............................\ILI9163.LST
...........\..............\............................\ILI9163.M51
...........\..............\............................\ILI9163.OBJ
...........\..............\............................\ILI9163.Opt
...........\..............\............................\ILI9163.plg
...........\..............\............................\ILI9163.Uv2
...........\..............\............................\ILI9163_Opt.Bak
...........\..............\............................\pic.h
...........\..............\............................\STARTUP.A51
...........\..............\............................\STARTUP.LST
...........\..............\............................\STARTUP.OBJ
...........\..............\RTC实时时钟DS1302 1.77寸彩屏显示\24x33.h
...........\..............\................................\8x16.h
...........\..............\................................\ds1302.h
...........\..............\................................\ds1302.LST
...........\..............\................................\ds1302.OBJ
...........\..............\................................\GB1616.h
...........\..............\................................\ILI9163.h
...........\..............\................................\LCD1602.LST
...........\..............\................................\LCD1602.OBJ
...........\..............\................................\main.c
...........\..............\................................\main.LST
...........\..............\................................\main.OBJ
...........\..............\................................\timerlcd
...........\..............\................................\timerlcd.hex
...........\..............\................................\timerlcd.M51
...........\..............\................................\timerlcd.Opt
...........\..............\................................\timerlcd.plg
...........\..............\................................\timerlcd.Uv2
...........\..............\.

CodeBus www.codebus.net