Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: texisystem Download
 Description: Single-chip microcomputer as the central controller, the design of a taxi meter system. System in the DC source is vehicle power supply, power supply voltage of+9 V ~+24 V. No mileage sensor design, assuming it has a car 1km each exercise provides 1000 pulses features. LED digital tube display can be used or LCD display module. Should meet the technical specifications: 1, daytime, evening set different mileage unit, two digital display "XX", and conversion and with a key control Display 2, the exercise of two digital display vehicle mileage "XX" 3, the operation starting at 5.00 yuan, while less than 3 km at prices starting at settlement, when more than 3 km, priced according to mileage × mileage settlement, and with three digital display "XX.X" 4, a key control and began to clear the total valuation, that valuation system began clicking, and then click when the total is cleared (ie, simulated with a taxi on the button "empty" sign function).
 Downloaders recently: [More information of uploader 1142257398]
 To Search:
File list (Check if you may need any files):
Chapter 23
..........\CODE
..........\....\Events.c
..........\....\Events.h
..........\....\IRQ.c
..........\....\IRQ.h
..........\....\Readme.txt
..........\....\Timer_1ms.c
..........\....\Timer_1ms.h
..........\....\taxi_api.c
..........\....\taxi_api.h
..........\....\taxi_config.h
..........\....\taxi_defines.h
..........\....\taxi_flash.c
..........\....\taxi_flash.h
..........\....\taxi_messages.h
..........\....\taxi_meter.c
..........\....\taxi_states.c
..........\....\taxi_states.h
..........\....\taxi_tables.c
..........\....\taxi_tables.h
..........\....\taxi_variables.h
..........\....\taximeter.h
..........\Circuit
..........\.......\Taxi Meter.pdf
    

CodeBus www.codebus.net