Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: BPSK Download
 Description: BPSK modulation is used to design, as follows: 1.matlab.txt the program is under matlab platform. Mat format. Purpose is to output a 64* 4 matrix, each element is an integer between 0 and 255. Matrix of each line is a symbol of four the number of sampling points of the four quantitative value. However, due to the current symbol by raised cosine filtering system, before and after a total of six yards by the combined effect of element, it is shared by the six yards per decision. The 6 symbol is random, may be 0 may be 1 (may be bipolar may be+1-1), so a total of six yards per 2 ^ 6 = 64 kinds of situations, so the resulting matrix 64* 4. Finally, the number of progressive output of the 256. 2.BPSK3 purposes of the procedure is: m sequence of roll-off factor of 0.3 by the raised cosine filter system output after the signal sampling. 3.BPSK5 purposes of the procedure is: m sequence of roll-off factor of 0.5 by the raised cosine filter system output after the signal sampling.
 Downloaders recently: [More information of uploader newmecy]
 To Search: bpsk verilog
  • [2400verilog] - I have just completed development enviro
  • [signal] - Sinx cosx generate quadrature modulation
  • [code_for_wireless_communication] - Contains software radio, dds, filter des
  • [1B1] - VHDL-based programmable BPSk modulation
  • [2fsk_final] - All-digital realization of fsk modem ver
  • [BPSK_b] - System generator code for BPSK implement
  • [bpsk_sin] - Program to Generate a BPSK signal in VHD
  • [FPGAFSK] - The document is based on FPGA-2FSK modul
File list (Check if you may need any files):
BPSK程序\BPSK3.txt
........\BPSK5.txt
........\matlab.txt
........\readme.txt
BPSK程序
    

CodeBus www.codebus.net