Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: STC12c5410AD Download
 Description: Microcontroller based STC12C5410AD internal AD converter, LCD display and use the data, detailed notes!
 To Search:
File list (Check if you may need any files):
AD转换\MzLH04&ZLG7289\LCD_Driver\LCD_Config.h
......\..............\..........\LCD_Dis.c
......\..............\..........\LCD_Dis.h
......\..............\MzLH04的MCS51驱动演示说明.pdf
......\..............\Project\MzLH04_BaseDraw\Bitmap.lst
......\..............\.......\...............\LCD_Dis.lst
......\..............\.......\...............\main.lst
......\..............\.......\...............\MzLH04_BaseDraw.m51
......\..............\.......\...............\MzLH04_BaseDraw.Opt
......\..............\.......\...............\MzLH04_BaseDraw.plg
......\..............\.......\...............\MzLH04_BaseDraw.Uv2
......\..............\.......\...............\MzLH04_BaseDraw_Opt.Bak
......\..............\.......\...............\MzLH04_BaseDraw_Uv2.Bak
......\..............\.......\...............\Output\Bitmap.obj
......\..............\.......\...............\......\LCD_Dis.obj
......\..............\.......\...............\......\main.obj
......\..............\.......\...............\......\MzLH04_BaseDraw
......\..............\.......\...............\......\MzLH04_BaseDraw.hex
......\..............\.......\...............\......\MzLH04_BaseDraw.lnp
......\..............\.......\...............\......\MzLH04_BaseDraw.plg
......\..............\.......\...............\......\STARTUP.obj
......\..............\.......\...............\STARTUP.A51
......\..............\.......\...............\STARTUP.LST
......\..............\.......\...............\STC12C5410AD.H
......\..............\.......\...............\UserCode\Bitmap.c
......\..............\.......\...............\........\Bitmap.h
......\..............\.......\...............\........\main.c
......\..............\.......\...............\Output
......\..............\.......\...............\UserCode
......\..............\.......\MzLH04_BaseDraw
......\..............\LCD_Driver
......\..............\Project
......\MzLH04&ZLG7289
AD转换
    

CodeBus www.codebus.net