Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PRBS Download
  • Category:
  • Com Port
  • Tags:
  • File Size:
  • 11kb
  • Update:
  • 2012-11-26
  • Downloads:
  • 0 Times
  • Uploaded by:
  • yqihao
 Description: PRBS - Generator and Receiver
 Downloaders recently: [More information of uploader yqihao]
 To Search: PRBS
  • [prbs_bpsk] - QPSK modulation using a prbs data input
  • [p15_gen4] - VHDL module for parallel (4bits) PRBS 2E
  • [PRBS] - pseudo random bit sequence generator
File list (Check if you may need any files):
PRBS_PCK.vhd
PRBS_RX_SER.vhd
PRBS_TX_SER.vhd
    

CodeBus www.codebus.net