Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: SDRAM-control Download
 Description: SDRAM controller
 To Search: SDRAM CONTROL
  • [sdram-control-verilog] - This readme file for the SDR AM Controll
  • [USB] - LPC2478 chip, U disk Operation Source, Z
  • [ledwater] - Written in recent Spartan3E a water lamp
  • [ChipScope_Pro] - ISE developed online learning environmen
File list (Check if you may need any files):
基于FPGA对sdram控制器的设计(VERILOG语言)\sdram_control\doc\read_me.doc
..........................................\.............\...\SDRAM.doc
..........................................\.............\...\sdr_sdram.pdf
..........................................\.............\sim\altera_mf.v
..........................................\.............\...\Command.v
..........................................\.............\...\control_interface.v
..........................................\.............\...\mt48lc2m32b2.v
..........................................\.............\...\Params.v
..........................................\.............\...\sdram_test.cr.mti
..........................................\.............\...\sdram_test.mpf
..........................................\.............\...\sdram_test.wlf
..........................................\.............\...\sdram_test_tb.v
..........................................\.............\...\transcript
..........................................\.............\...\vsim.wlf
..........................................\.............\...\wave.do
..........................................\.............\...\.ork\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.asm
..........................................\.............\...\....\..........................................\_primary.dat
..........................................\.............\...\....\..........................................\_primary.vhd
..........................................\.............\...\....\.m@f_pll_reg\verilog.asm
..........................................\.............\...\....\............\_primary.dat
..........................................\.............\...\....\............\_primary.vhd
..........................................\.............\...\....\.....ram7x20_syn\verilog.asm
..........................................\.............\...\....\................\_primary.dat
..........................................\.............\...\....\................\_primary.vhd
..........................................\.............\...\....\.....stratixii_pll\verilog.asm
..........................................\.............\...\....\..................\_primary.dat
..........................................\.............\...\....\..................\_primary.vhd
..........................................\.............\...\....\............_pll\verilog.asm
..........................................\.............\...\....\................\_primary.dat
..........................................\.............\...\....\................\_primary.vhd
..........................................\.............\...\....\alt3pram\verilog.asm
..........................................\.............\...\....\........\_primary.dat
..........................................\.............\...\....\........\_primary.vhd
..........................................\.............\...\....\...accumulate\verilog.asm
..........................................\.............\...\....\.............\_primary.dat
..........................................\.............\...\....\.............\_primary.vhd
..........................................\.............\...\....\...cam\verilog.asm
..........................................\.............\...\....\......\_primary.dat
..........................................\.............\...\....\......\_primary.vhd
..........................................\.............\...\....\....dr_rx\verilog.asm
..........................................\.............\...\....\.........\_primary.dat
..........................................\.............\...\....\.........\_primary.vhd
..........................................\.............\...\....\.......tx\verilog.asm
..........................................\.............\...\....\.........\_primary.dat
..........................................\.............\...\....\.........\_primary.vhd
..........................................\.............\...\....\....lklock\verilog.asm
..........................................\.............\...\

CodeBus www.codebus.net