Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: EDA_vhdl-SINE_COSINE_CODE Download
 Description: Trigonometric functions in the FPGA implementation, the use of VHDL language。
 Downloaders recently: [More information of uploader magl_2007]
 To Search:
  • [fpga] - Frequency Meter frequency meter based on
File list (Check if you may need any files):
SINE COSINE三角函数硬件实现代码\cordic.doc
...............................\cordic_tst.vhd
...............................\p2r_cordic.vhd
...............................\p2r_CordicPipe.vhd
...............................\sc_corproc.vhd
...............................\sc_corproc.vhd.bak
...............................\三角函数公式.doc
...............................\使用说明请参看右侧注释====〉〉.txt
...............................\面向VLSI实现三角函数求解算法.doc
SINE COSINE三角函数硬件实现代码
    

CodeBus www.codebus.net