Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: 12 Download
 Description: Carried out with the FPGA such as the frequency and phase measurement precision of the procedure, this procedure was the procedure under the EPEC6Q240C8
 Downloaders recently: [More information of uploader billy1988]
 To Search:
  • [frequency-phase_test_vhdl] - Phase tests, the frequency of testing, f
  • [d02] - This procedure for pulse width measureme
  • [cepin] - Such as precision frequency measurement
  • [plj] - And other precision frequency meter, und
  • [frenq_div_code] - In the keil completed the editing enviro
  • [state] - With positive and negative periodic sign
  • [Phase_Meter] - Unsigned band show the periodic signal c
File list (Check if you may need any files):
测频测相\delay_tms.c
........\delay_tms.h
........\delay_tms.LST
........\delay_tms.OBJ
........\initial.h
........\keyscan.c
........\keyscan.LST
........\keyscan.OBJ
........\lcdcontrol.c
........\lcdcontrol.LST
........\lcdcontrol.OBJ
........\measure_duty.c
........\measure_duty.h
........\measure_duty.LST
........\measure_duty.OBJ
........\measure_freq.c
........\measure_freq.h
........\measure_freq.LST
........\measure_freq.OBJ
........\measure_high_freq.c
........\measure_high_freq.h
........\measure_high_freq.LST
........\measure_high_freq.OBJ
........\measure_low_freq.c
........\measure_low_freq.h
........\measure_low_freq.LST
........\measure_low_freq.OBJ
........\phase
........\phase.c
........\phase.lnp
........\phase.LST
........\phase.M51
........\phase.OBJ
........\phase.Opt
........\phase.plg
........\phase.Uv2
........\phase_Opt.Bak
........\phase_Uv2.Bak
........\picture.h
........\system_initial.c
........\system_initial.LST
........\system_initial.OBJ
测频测相
    

CodeBus www.codebus.net