Welcome![Sign In][Sign Up]
Location:
Downloads Documents Software Engineering
Title: TIC_TAC_game_gate_level Download
 Description: Tic Tac Game is a classic game. Two players are using code-named “0” and “1”, fill in rotation in TICTACTOEMIDLET. If any player gates the first straight line will win, and if nobody is successful then the tie 1. Top module name: TT (Filename: TT.v) 2. Input pins: IN_AtoI [8:0] 3. Output pins: OUT [1:0] 4. All of the input are 1-bit numbers. 5. You can only use the following gates in Table I, and it its neede to include ALL the delay information (Tplh, Tphl) in your design.  It can only use not, and, nand, or, nor, xor, xnor logic gates.  It can only use up to 4-input logic gates.
 Downloaders recently: [More information of uploader sigh620]
 To Search:
File list (Check if you may need any files):
TIC_TAC_game_gate_level
.......................\01_run.f
.......................\GATE_LIB.v
.......................\INCA_libs
.......................\.........\.ncv.lock
.......................\.........\cds.lib
.......................\.........\hdl.var
.......................\.........\irun.lnx86.08.10.nc
.......................\.........\...................\.ncrun.lock
.......................\.........\...................\.ncv.lock
.......................\.........\...................\bind.lst.lnx86
.......................\.........\...................\cds.lib
.......................\.........\...................\cdsrun.lib
.......................\.........\...................\files.ts
.......................\.........\...................\hdl.var
.......................\.........\...................\hdlrun.var
.......................\.........\...................\ncelab.args
.......................\.........\...................\ncelab.env
.......................\.........\...................\ncelab.hrd
.......................\.........\...................\ncsim.args
.......................\.........\...................\ncsim.env
.......................\.........\...................\ncsim_restart.args
.......................\.........\...................\ncsim_restart.env
.......................\.........\...................\ncverilog.args
.......................\.........\...................\ncvlog.args
.......................\.........\...................\ncvlog.env
.......................\.........\...................\ncvlog.files
.......................\.........\...................\temp
.......................\.........\snap.lnx86.nc
.......................\.........\.............\.elab.args
.......................\.........\.............\.hard.args
.......................\.........\.............\.ncv.lock
.......................\.........\.............\bind.lst.lnx86
.......................\.........\.............\cds.lib
.......................\.........\.............\hdl.var
.......................\.........\snap.sun4v.nc
.......................\.........\.............\.elab.args
.......................\.........\.............\.hard.args
.......................\.........\.............\.ncv.lock
.......................\.........\.............\bind.lst.sun4v
.......................\.........\.............\cds.lib
.......................\.........\.............\hdl.var
.......................\.........\worklib
.......................\.........\.......\.cdsvmod
.......................\.........\.......\.inca.db.132.lnx86
.......................\.........\.......\.inca.db.164.lnx86
.......................\.........\.......\.inca.db.166.sun4v
.......................\.........\.......\cdsinfo.tag
.......................\.........\.......\inca.lnx86.132.pak
.......................\.........\.......\inca.lnx86.164.pak
.......................\.........\.......\inca.sun4v.166.pak
.......................\ncverilog.log
.......................\novas.rc
.......................\nWaveLog
.......................\........\novas.rc
.......................\........\nWave.cmd
.......................\........\nWave.cmd.bak
.......................\........\pes.bat
.......................\........\turbo.log
.......................\PATTERN.v
.......................\TESTBED.v
.......................\TT.fsdb
.......................\TT.v
    

CodeBus www.codebus.net