Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Driver Develop
Title: keyboard_vhdl Download
 Description: ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component
 Downloaders recently: [More information of uploader arekk.pk]
  • [ps2KeyboardScaning] - very useful to pactising ps2 keyboard
  • [piano] - piano
  • [PS2] - Read the keyboard to use the example of
  • [keyboard] - This is a ps2 keyboard module, can opera
  • [PS2] - a document for ps/2 keyboard controller
  • [verilog-PS2] - In the FPGA, achieving PS2 keyboard data
  • [PS2_interface_tut_art] - Simple PS2 keyboard interface tutorial !
  • [PS2] - A PS2 core on SOPC.You can use it to con
File list (Check if you may need any files):
keyboard_vhdl\hex.vhd
.............\hex.vhd.bak
.............\keyboard.vhd
.............\keyboard.vhd.bak
.............\klawa.asm.rpt
.............\klawa.done
.............\klawa.dpf
.............\klawa.fit.rpt
.............\klawa.fit.summary
.............\klawa.flow.rpt
.............\klawa.map.rpt
.............\klawa.map.summary
.............\klawa.pin
.............\klawa.pof
.............\klawa.qpf
.............\klawa.qsf
.............\klawa.qsf.bak
.............\klawa.qws
.............\klawa.sof
.............\klawa.tan.rpt
.............\klawa.tan.summary
.............\klawa.vhd
.............\klawa.vhd.bak
.............\klawa_assignment_defaults.qdf
.............\pisanie.vhd
.............\pisanie.vhd.bak
.............\db\klawa.asm.qmsg
.............\..\klawa.asm_labs.ddb
.............\..\klawa.cbx.xml
.............\..\klawa.cmp.bpm
.............\..\klawa.cmp.cdb
.............\..\klawa.cmp.ecobp
.............\..\klawa.cmp.hdb
.............\..\klawa.cmp.logdb
.............\..\klawa.cmp.rdb
.............\..\klawa.cmp.tdb
.............\..\klawa.cmp0.ddb
.............\..\klawa.cmp_bb.cdb
.............\..\klawa.cmp_bb.hdb
.............\..\klawa.cmp_bb.logdb
.............\..\klawa.cmp_bb.rcf
.............\..\klawa.dbp
.............\..\klawa.db_info
.............\..\klawa.eco.cdb
.............\..\klawa.fit.qmsg
.............\..\klawa.hier_info
.............\..\klawa.hif
.............\..\klawa.map.bpm
.............\..\klawa.map.cdb
.............\..\klawa.map.ecobp
.............\..\klawa.map.hdb
.............\..\klawa.map.logdb
.............\..\klawa.map.qmsg
.............\..\klawa.map_bb.cdb
.............\..\klawa.map_bb.hdb
.............\..\klawa.map_bb.logdb
.............\..\klawa.pre_map.cdb
.............\..\klawa.pre_map.hdb
.............\..\klawa.psp
.............\..\klawa.pss
.............\..\klawa.rtlv.hdb
.............\..\klawa.rtlv_sg.cdb
.............\..\klawa.rtlv_sg_swap.cdb
.............\..\klawa.sgdiff.cdb
.............\..\klawa.sgdiff.hdb
.............\..\klawa.sld_design_entry.sci
.............\..\klawa.sld_design_entry_dsc.sci
.............\..\klawa.syn_hier_info
.............\..\klawa.tan.qmsg
.............\..\klawa.tis_db_list.ddb
.............\..\prev_cmp_klawa.asm.qmsg
.............\..\prev_cmp_klawa.fit.qmsg
.............\..\prev_cmp_klawa.map.qmsg
.............\..\prev_cmp_klawa.qmsg
.............\..\prev_cmp_klawa.tan.qmsg
.............\db
keyboard_vhdl
    

CodeBus www.codebus.net