Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Huffman Download
 Description: Moving Picture for video coding Huffman coding, can be widely applied to MPEG
 Downloaders recently: [More information of uploader 22027814]
  • [SVMmatlab] - SVM writen by matlab,include five files,
  • [xapp616] - A. Huffman implementation reference desi
  • [DCT2-lele] - similar to the use of butterfly FFT algo
  • [djpeg] - Realize jpeg image decoding capabilities
  • [jpeg] - This is a JPEG codec the VHDL code
  • [EvcSms] - anydata cdma module test procedures.
  • [DCT] - For video images encoded 8 × 8DCT transf
  • [Visual_C__MFC] - visual c++ mfc programming examples, pdf
  • [mp3decoder] - mp3 decoding Verilog code, the adoption
  • [COMPRESSION] - Simple LZW image compression implemented
File list (Check if you may need any files):
Huffman
.......\Huffman编码
.......\...........\08Col_Huffman.pdf
.......\...........\h
.......\...........\.\busm.vhd
.......\...........\.\counter5.vhd
.......\...........\.\countertest.vhd
.......\...........\.\dcr
.......\...........\.\function.vhd
.......\...........\.\hcoder.vhd
.......\...........\.\hresult
.......\...........\.\htest.vhd
.......\...........\.\htesta.vhd
.......\...........\.\mbus.vhd
.......\...........\.\ram.vhd
.......\...........\.\ram1.vhd
.......\...........\.\ramtest.vhd
.......\...........\.\ramtest1.vhd
.......\...........\.\reg5.vhd
.......\...........\.\reg8.vhd
.......\...........\.\romac.vhd
.......\...........\.\romactb.vhd
.......\...........\.\romactest.vhd
.......\...........\.\romdc.vhd
.......\...........\.\romdctb.vhd
.......\...........\.\romdctest.vhd
.......\...........\.\romrd.vhd
.......\...........\.\romrdtest.vhd
.......\...........\.\romt.vhd
.......\...........\.\romtest.vhd
.......\...........\.\ROM_AC_TEST.eda9.651.ow
.......\...........\.\s16.vhd
.......\...........\.\s16r
.......\...........\.\s16test.vhd
.......\...........\.\s8
.......\...........\.\s8.vhd
.......\...........\.\s8test.vhd
.......\...........\.\shifterin.vhd
.......\...........\.\shiftertest.vhd
.......\...........\.\shintest.vhd
.......\...........\.\shouttest.vhd
.......\...........\.\sin
.......\...........\.\state.vhd
.......\...........\.\stater
.......\...........\.\statetest.vhd
.......\...........\.\top.vhd
.......\...........\.\toptest.vhd
.......\...........\h.rar
.......\...........\homework
.......\...........\........\alu.vhd
.......\...........\........\alutest.vhd
.......\...........\........\counter12.vhd
.......\...........\........\counter12test.vhd
.......\...........\........\decoder.vhd
.......\...........\........\dff.vhd
.......\...........\........\dfftest.vhd
.......\...........\........\frame.vhd
.......\...........\........\frq.vhd
.......\...........\........\frqtest.vhd
.......\...........\........\function.vhd
.......\...........\........\keytest.vhd
.......\...........\........\keytestbench.vhd
.......\...........\........\random.vhd
.......\...........\........\randomtest.vhd
.......\...........\........\testframe.vhd
.......\...........\........\testtimer.vhd
.......\...........\........\timer.vhd
.......\...........\homework.rar
.......\...........\Huffman 编码器设计说明.doc
.......\...........\Huffman 编码器设计说明.pdf
.......\...........\huffman.c
.......\...........\huffman.dsp
.......\...........\huffman.plg
.......\...........\r





.......\...........\.\stater.jpg
.......\...........\r.rar
.......\xapp616
.......\.......\huffman_en_full.v
.......\.......\huffman_en_full.vhd
.......\.......\readme.txt
.......\.......\transcript
.......\xapp616.zip
    

CodeBus www.codebus.net