Welcome![Sign In][Sign Up]
Location:
Search - qpsk modulation fpga

Search list

[VHDL-FPGA-Verilogmodulation

Description: 基于FPGA的调制,实现了QPSK调制,所用芯片为Artera的CycloneIIEp2C5T114C8-FPGA-based modulation, realize the QPSK modulation, the chip used for Artera
Platform: | Size: 1024 | Author: liqijun | Hits:

[Software EngineeringQPSK

Description: 该文介绍了各种信息技术中信息的传输及通信起着支撑作用,而对于信息的传输,数字通信 已成为重要手段。该文根据当今现代通信技术的发展,对QPSK信号的调制解调问题进行了分析, 并给出了用美国ELANIX公司的动态系统设计、仿真和分析软件System View 进行系统仿真的具体 设置,分析了仿真结果,并提出了用FPGA 技术实现这种系统的详细方法。-This paper introduces a variety of information technology in information transmission and communication plays a supporting role, and for information transmission, digital communication has become an important tool. In this paper, according to today s modern communications technology, for QPSK modulation and demodulation signals are analyzed, and gives the U.S. company s Dynamic Systems Elanix design, simulation and analysis software for System Simulation System View the specific settings, an analysis of simulation results, and made use of FPGA technology to achieve the detailed methodology for such systems.
Platform: | Size: 379904 | Author: 子羽 | Hits:

[VHDL-FPGA-VerilogPL_MPSK

Description: 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4),即QPSK调制-VHDL hardware description language based on the base-band signal MPSK modulation (here M = 4), namely, QPSK modulation
Platform: | Size: 1024 | Author: 王勇 | Hits:

[Communication-MobileFPGA_QPSK

Description: 本文件是基于FPGA的QPSK调制解调性能仿真-This document is based on the FPGA-QPSK modulation and demodulation performance simulation
Platform: | Size: 204800 | Author: lily | Hits:

[OtherQPSK

Description: 基于FPGA的QPSK调制解调的技术实现PDF论文.-FPGA-based QPSK modulation and demodulation technology realize PDF papers.
Platform: | Size: 122880 | Author: | Hits:

[ELanguageQPSKvhdl

Description: QPSK的VHDL调制解调 FPGA设计思路思想-QPSK modulation and demodulation of the VHDL design thinking FPGA
Platform: | Size: 1615872 | Author: liming | Hits:

[DocumentsQPSK

Description: 提出了一个采用(2,1,7)卷积码+QPSK的中频调制解调方案,并在Xilinx公司的100万 门FPGA芯片上实现了该系统。该系统在信噪比SNR为6dB左右时可实现速率超过1Mbit/s、误码率 小于10-5的数据传输。 -Proposed a use of (2,1,7) convolutional code+ QPSK modulation and demodulation of the IF program, and in Xilinx' s FPGA chip one million on implementation of the system. The system SNR to 6dB signal to noise ratio at about the rate may achieve more than 1Mbit/s, less than 10-5 bit error rate of data transmission.
Platform: | Size: 62464 | Author: 张同星 | Hits:

[Communication-Mobileqpsk

Description: qpsk vhdl code ue to impelemented on fpga kits
Platform: | Size: 6144 | Author: ahmed | Hits:

[VHDL-FPGA-VerilogFPGAQPSK

Description: 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the correctness of the design.
Platform: | Size: 40960 | Author: 杨杨 | Hits:

[VHDL-FPGA-Verilogmodule_dem

Description: 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
Platform: | Size: 6068224 | Author: yu | Hits:

[Software EngineeringQPSK

Description: 用FPGA实现QPSK调制的4篇论文,对用FPGA开发QPSK很有帮助!-QPSK modulation with FPGA Implementation of 4 papers on the use of FPGA development QPSK helpful!
Platform: | Size: 852992 | Author: FPGA_VHDL | Hits:

[VHDL-FPGA-Verilogqpsk

Description: qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
Platform: | Size: 1024 | Author: 张维 | Hits:

[ELanguageQPSK_modulator_demodulator

Description: Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to local hard disk, modify the property is writable, then in the ISE environment, new construction, and then add the appropriate source files.
Platform: | Size: 1024 | Author: 松松 | Hits:

[VHDL-FPGA-VerilogCostas

Description: 介 绍 了 某 直 接 序 列 扩 频 、QPSK 调 制 系 统 接 收 通 道 中 四 相 Costas 载 波 跟 踪 环 的 原 理 及 其 基 于 DSP+FPGA 的 实 现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
Platform: | Size: 562176 | Author: fy | Hits:

[VHDL-FPGA-VerilogQPSK

Description: 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
Platform: | Size: 1442816 | Author: 卢梦明 | Hits:

[assembly languageQPSK-code--FPGA

Description: 一种基于FPGA的Q​ P​ S​ K​ 调​ 制​ 解​ 调​ 的代码仿真,很实用-A code Q P S K modulation demodulation of FPGA-based emulation, it is practical
Platform: | Size: 4096 | Author: 杨皓然 | Hits:

[VHDL-FPGA-Verilogmodulation-and-demodulation

Description: 调制与解调系统的FPGA设计实现,包括2-ASK调制和解调,2-FSK调制和解调,2-PSK调制和解调,QPSK调制和解调,PPM调制和解调的verilog源代码。-FPGA design implementation of modulation and demodulation system, including 2-ASK modulation and demodulation, 2-FSK modulation and demodulation, 2-PSK modulation and demodulation, QPSK modulation and demodulation, PPM modulation and demodulation verilog source code .
Platform: | Size: 5120 | Author: xuweiwei | Hits:

[assembly languagemodulation

Description: 基于FPGA的QPSK调制library ieee use ieee.std_logic_1164.all -FPGA QPSK modulation
Platform: | Size: 1024 | Author: 李晨曦 | Hits:

[Otherfpga-and-qpsk

Description: 这是一个基于FPGA实现的QPSK调制解调文件,可以借鉴-This is an FPGA-based realization of QPSK modulation and demodulation file, you can learn
Platform: | Size: 205824 | Author: 陶文祥 | Hits:

[Program docQPSK-by-FPGA

Description: 基于FPGA的QPSK调制与解调的实现,使用VHDL语言编写-Implementation of FPGA modulation and demodulation based on QPSK, using VHDL language
Platform: | Size: 1362944 | Author: wisdom | Hits:
« 12 »

CodeBus www.codebus.net