Welcome![Sign In][Sign Up]
Location:
Search - ps2 keyboard fpga

Search list

[Driver DevelopKEY_PS2

Description: PS2键盘。鼠标驱动-PS2 keyboard. Mouse Drivers
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilogps2_vhdl

Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
Platform: | Size: 1024 | Author: 刘音 | Hits:

[VHDL-FPGA-Verilogps2

Description: 用键盘控制FPGA,再由FPGA控制VGA显示器是好东西-Use the keyboard to control FPGA, and then by the FPGA to control VGA display is a good thing
Platform: | Size: 465920 | Author: 张俊 | Hits:

[VHDL-FPGA-Verilogopb_ps2_dual_ref_v1_00_a

Description: 基于Xilinx FPGA实现PS2键盘鼠标接口。版本1.0-Based on Xilinx FPGA realize PS2 keyboard and mouse interface. Version 1.0
Platform: | Size: 16384 | Author: 张力 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口-The FPGA using XLINX realize P/S2 keyboard interface
Platform: | Size: 3072 | Author: toneytang | Hits:

[Driver Developps2_soc1

Description: 键盘接口ps2的协议,经过fpga验证可以实现。-Ps2 keyboard interface of the agreement, after FPGA validation can be achieved.
Platform: | Size: 21504 | Author: 罗伟 | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[VHDL-FPGA-VerilogFPGA-PS2-interface

Description: FPGA的PS2口接口程序,可识别PS2口键盘的输入-FPGA-PS2 port interface program to identify the mouth PS2 keyboard input
Platform: | Size: 665600 | Author: 冀少威 | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[VHDL-FPGA-VerilogLibra_ps2key_lcd

Description: 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。-Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module for the FPGA/CPLD system development process. This code is my development environment in Libra when written in Actel FPGA.
Platform: | Size: 6144 | Author: 赵二虎 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于Xilinx Spartan3E的ps/2键盘接口,能够把键值传送到FPGA上并在LCD上显示-Xilinx Spartan3E based on the ps/2 keyboard interface, be able to send to the FPGA on the keys and LCD display
Platform: | Size: 506880 | Author: darkblue | Hits:

[VHDL-FPGA-Verilogverilog-PS2

Description: 在FPGA内,实现PS2键盘数据读取功能,verilog源代码-In the FPGA, achieving PS2 keyboard data read functions, verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA实现ps2键盘控制,sparden 3s 250e-FPGA realization of ps2 keyboard, sparden 3s 250e
Platform: | Size: 1024 | Author: burt | Hits:

[VHDL-FPGA-Verilogps2

Description: 使用fpga 10k20 和dac0832构成一个音乐发生器,按键采用ps2键盘。可以产生32个音阶-Using fpga 10k20 and dac0832 form a music generator, using ps2 keyboard keys. Can produce 32 scales
Platform: | Size: 67584 | Author: 王志华 | Hits:

[VHDL-FPGA-VerilogPS2_kebord_controller

Description: PS2键盘控制器的VHDL源码,用FPGA直接读取键盘的输入并显示。-PS2 keyboard controller VHDL source code, with a direct FPGA to read keyboard input and displayed.
Platform: | Size: 845824 | Author: ilovethisgam | Hits:

[VHDL-FPGA-VerilogPS2-keyboard

Description: fpga的ps2-键盘数码管显示程序,包括vhdl,顶层文件,工程文件-fpga of ps2-keyboard digital tube display program, including vhdl, top-level files, project files
Platform: | Size: 516096 | Author: zhouhengjun | Hits:

[VHDL-FPGA-VerilogPS2-keyboard

Description: 基于fpga的ps2键盘代码,用vhdl编写-ps2 keyboard design based on fpga
Platform: | Size: 2380800 | Author: 登入 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net