Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PS2_kebord_controller Download
 Description: PS2 keyboard controller VHDL source code, with a direct FPGA to read keyboard input and displayed.
 Downloaders recently: [More information of uploader ilovethisgam]
  • [8-cpu] - 8-bit CPU of the VHDL design, 16 instruc
  • [PS2] - Read the keyboard to use the example of
  • [ps2.vhd] - vhdl ps2 interface for practical use. pl
  • [Chapter11-13] - Chapter XI to the 13th chapter of the co
  • [IQmath_v15a] - Dsp fixed-point using the library' s
File list (Check if you may need any files):
PS2键盘显示\PS2接口键盘显示实验.pdf
...........\ps_kb\.sopc_builder\install.ptf
...........\.....\.............\install2.ptf
...........\.....\.............\preferences.xml
...........\.....\Block1.bdf
...........\.....\block1.vwf
...........\.....\CPU.bsf
...........\.....\CPU.ptf
...........\.....\CPU.ptf.bak
...........\.....\CPU.ptf.pre_generation_ptf
...........\.....\CPU.sopc
...........\.....\CPU.vhd
...........\.....\cpu_1.ocp
...........\.....\cpu_1.vhd
...........\.....\cpu_1_jtag_debug_module.vhd
...........\.....\cpu_1_jtag_debug_module_wrapper.vhd
...........\.....\cpu_1_ociram_default_contents.mif
...........\.....\cpu_1_rf_ram.mif
...........\.....\cpu_1_test_bench.vhd
...........\.....\CPU_generation_script
...........\.....\CPU_log.txt
...........\.....\CPU_setup_quartus.tcl
...........\.....\.....im\contents_file_warning.txt
...........\.....\db\prev_cmp_ps2_key.sim.qmsg
...........\.....\..\ps2_key.asm.qmsg
...........\.....\..\ps2_key.cbx.xml
...........\.....\..\ps2_key.cmp.cdb
...........\.....\..\ps2_key.cmp.hdb
...........\.....\..\ps2_key.cmp.logdb
...........\.....\..\ps2_key.cmp.rdb
...........\.....\..\ps2_key.cmp.tdb
...........\.....\..\ps2_key.cmp0.ddb
...........\.....\..\ps2_key.dbp
...........\.....\..\ps2_key.db_info
...........\.....\..\ps2_key.eco.cdb
...........\.....\..\ps2_key.eda.qmsg
...........\.....\..\ps2_key.eds_overflow
...........\.....\..\ps2_key.fit.qmsg
...........\.....\..\ps2_key.fnsim.cdb
...........\.....\..\ps2_key.fnsim.hdb
...........\.....\..\ps2_key.fnsim.qmsg
...........\.....\..\ps2_key.hier_info
...........\.....\..\ps2_key.hif
...........\.....\..\ps2_key.map.cdb
...........\.....\..\ps2_key.map.hdb
...........\.....\..\ps2_key.map.logdb
...........\.....\..\ps2_key.map.qmsg
...........\.....\..\ps2_key.pre_map.cdb
...........\.....\..\ps2_key.pre_map.hdb
...........\.....\..\ps2_key.psp
...........\.....\..\ps2_key.pss
...........\.....\..\ps2_key.rtlv.hdb
...........\.....\..\ps2_key.rtlv_sg.cdb
...........\.....\..\ps2_key.rtlv_sg_swap.cdb
...........\.....\..\ps2_key.sgdiff.cdb
...........\.....\..\ps2_key.sgdiff.hdb
...........\.....\..\ps2_key.signalprobe.cdb
...........\.....\..\ps2_key.sim.cvwf
...........\.....\..\ps2_key.sim.hdb
...........\.....\..\ps2_key.sim.qmsg
...........\.....\..\ps2_key.sim.rdb
...........\.....\..\ps2_key.sld_design_entry.sci
...........\.....\..\ps2_key.sld_design_entry_dsc.sci
...........\.....\..\ps2_key.syn_hier_info
...........\.....\..\ps2_key.tan.qmsg
...........\.....\..\wed.wsf
...........\.....\display.bsf
...........\.....\display.vhd
...........\.....\keyboard.bsf
...........\.....\keyboard.vhd
...........\.....\pll25.bsf
...........\.....\pll25.cmp
...........\.....\pll25.vhd
...........\.....\pll25_wave0.jpg
...........\.....\pll25_waveforms.html
...........\.....\prev_cmp_ps2_key.qmsg
...........\.....\ps2_key.asm.rpt
...........\.....\ps2_key.bdf
...........\.....\ps2_key.cdf
...........\.....\ps2_key.done
...........\.....\ps2_key.dpf
...........\.....\ps2_key.eda.rpt
...........\.....\ps2_key.fit.eqn
...........\.....\ps2_key.fit.rpt
...........\.....\ps2_key.fit.smsg
...........\.....\ps2_key.fit.summary
...........\.....\ps2_key.flow.rpt
...........\.....\ps2_key.map.eqn
...........\.....\ps2_key.map.rpt
...........\.....\ps2_key.map.summary
...........\.....\ps2_key.pin
...........\.....\ps2_key.pof
...........\.....\ps2_key.qpf
...........\.....\ps2_key.qsf
...........\.....\ps2_key.qws
...........\.....\ps2_key.sim.rpt
...........\.....\ps2_key.sof
...........\.....\ps2_key.tan.rpt
...........\.....\ps2_key.tan.summary
...........\.....\ps2_key_assignment_defaults.qdf
    

CodeBus www.codebus.net