Welcome![Sign In][Sign Up]
Location:
Search - digital temperature VHDL

Search list

[VHDL-FPGA-Verilogtemperature

Description: 基于VHDL控制的DS18B20温度测量程序,精确到小数点后两位,在实验板上通过;-VHDL-based control procedures DS18B20 temperature measurement, accurate to two decimal places, the board adopted in the experiment
Platform: | Size: 2048 | Author: liao | Hits:

[SCMVHDL

Description: 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
Platform: | Size: 9216 | Author: 刘运学 | Hits:

[VHDL-FPGA-Verilogshuzisuoxiang

Description: 数字锁相环(DPLL)技术在数字通信、无线电电子学等众多领域得到了极为广泛的应用。与传统的模拟电路实现的PLL相比,DPLL具有精度高、不受温度和电压影响、环路带宽和中心频率编程可调、易于构建高阶锁相环等优点。-Digital phase-locked loop (DPLL) technology in digital communications, radio electronics, and many other fields has been extremely wide range of applications. With the traditional analog circuit implementation of the PLL in comparison, DPLL with high accuracy, free from the impact of temperature and voltage, loop bandwidth and center frequency adjustable programming, easy to build a high-order phase-locked loop, etc..
Platform: | Size: 1024 | Author: hellen | Hits:

[assembly languageRECEIVE_TEMP_A

Description: 温度采集和显示,DS18B20采集温度,数码管LED显示温度-Temperature acquisition and display, DS18B20 collecting temperature, digital tube LED display temperature
Platform: | Size: 3072 | Author: 费利佩 | Hits:

[VHDL-FPGA-Verilogtemperature

Description: 使用FPGA控制18B20达到温度采集过程,并显示在数码管上。-Achieved using the FPGA control 18B20 temperature acquisition process and display the digital pipe.
Platform: | Size: 2048 | Author: 彭杨 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
Platform: | Size: 578560 | Author: 张衡星 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: DS18B20数码管显示温度,vhdl语言编写,可移植模块-DS18B20 digital display temperature, VHDL language, portable module
Platform: | Size: 2048 | Author: 黄鹏 | Hits:

[VHDL-FPGA-VerilogSolar-Heater

Description: 数字系统课程设计,基于VHDL的太阳能热水器智能控制系统,AD转换及接口部分需根据实际情况进行调整,代码内有标注。 功能: 可以即时获取水箱里的温度和水位; 可以通过控制系统,智能控制水箱里水的加热,以及保温; 当水箱水位很低时,可以智能加水,保证白天水箱的安全。 指标: 控制系统采用数码管以及二极管为显示界面。 其中数码管用于显示当前水箱温度、预设温度以及设置中的操作界面; 2个黄色二极管和8个绿色二极管用于显示当前水位以及加水状态; 4个红色二极管用于显示当前加热状态、保温状态,以及水箱加热状态; 3个黄色二极管表示当前水箱的安全状态。 规模: 本项目主要涉及到热水器的不同状态的显示与状态转换,以及数码管的显示与界面切换,还包括AD转换,在硬件语言中的实现与优化,难度适中。 硬件语言状态机加上各模块代码共约1000行。 -Curriculum design of digital systems, solar water heater intelligent control system based on VHDL, AD converter and interface part is to be based on the actual situation adjustments noted in the code. Functions: Instant access to temperature and water level in the tank Control systems, intelligent control the heating of the water in the tank, and insulation Can intelligently when the tank water level is very low, add water to ensure the safety of the tank during the day. Indicators: The control system uses a digital tube diode display interface. Which is the digital tube used to display the current tank temperature operation interface, preset temperature settings The two yellow diodes and eight green diode is used to display the current water level and add water status 4 red diode is used to display the current heating status, the insulating state, as well as water tank heated state 3 yellow LED indicates the current security status of the tank. Scale: This p
Platform: | Size: 406528 | Author: Wang | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 利用VHDL进行嵌入式设计编程,DS18B20数字温度传感器接口程序设计-VHDL programming of embedded design DS18B20 digital temperature sensor interface programming.
Platform: | Size: 736256 | Author: 叶子 | Hits:

[VHDL-FPGA-Verilogwenduchuanganqi

Description: 利用VHDL编写的实现温度控制,可以根据温度变化将结果显示在数码管上。-Use VHDL to write the implementation of temperature control, can according to the temperature change will be showed on the digital pipe.
Platform: | Size: 1346560 | Author: 刘文鹏 | Hits:

[OtherDS18B20_1

Description: 数字温度传感器DS18B20控制程序VHDL语言,FPGA和CPLD都测试过可以执行-Digital temperature sensor DS18B20 control procedures VHDL language, FPGA and CPLD are tested to perform
Platform: | Size: 437248 | Author: 高超 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: DS18B20数码管显示温度,Quartus II VHDL设计语言-DS18B20 digital display of temperature, Quartus II VHDL design language
Platform: | Size: 2048 | Author: Any | Hits:

[VHDL-FPGA-Verilogds1820

Description: 基于FPGA的温度控制系统 VHDL 数码管显示温度 ds1820 温度报警-The temperature control system based on FPGA VHDL digital display temperature ds1820 temperature alarm
Platform: | Size: 2048 | Author: 笑点低 | Hits:

[Program doc18b20

Description: ds18b20实现温度采集 数码管显示 用vhdl语言编写-Ds18b20 realize temperature acquisition digital tube display with vhdl language
Platform: | Size: 2048 | Author: zjcmudala | Hits:

CodeBus www.codebus.net