Welcome![Sign In][Sign Up]
Location:
Search - can2.

Search list

[Other resourceCAN2.0

Description: CAN2.0协议,详细介绍了CAN总线,及帧格式.是汽车电子网络开发的参考.-CAN2.0 agreement, the details of the CAN bus, and frame format. automotive electronic network development reference.
Platform: | Size: 162713 | Author: 于建彬 | Hits:

[DSP programCAN2.0

Description: 关于can总线2.0的发送和接受程序,经项目验证通过,具有极大的参考价值.-2.0 on the bus can send and receive procedures, certification by the project, is of great reference value.
Platform: | Size: 51200 | Author: 宋真 | Hits:

[OtherCAN2.0

Description: CAN2.0协议,详细介绍了CAN总线,及帧格式.是汽车电子网络开发的参考.-CAN2.0 agreement, the details of the CAN bus, and frame format. automotive electronic network development reference.
Platform: | Size: 162816 | Author: 于建彬 | Hits:

[Otherkanban

Description: 本方案是采用世界先进技术CAN2.0总线技术来实现局域网联接的,CAN总线遵从ISO/OSI模型,采用了其中的物理层、数据链路层与应用层 CAN支持多主工作方式,网络上任一站点均可在任何时候主动向其它站点发送信息,支持点对点、一点对多点和全局广播方式接收/发送数据,从而避免了总线冲突可远距离传输 实现数据的快速、安全可靠的传输 本方案中采用一台计算机和多个高亮数码显示(LED)屏站点组成一个目视化的生产线管理系统,一个显示屏为一个CAN网络站点 LED显示屏用来分别记录、显示一条生产线或车间的生产状况及各种资料 全部显示屏均接入CAN网络总线,实现统一由计算机管理 采用这种看板式管理方式,只用一台计算机可以管理所有生产线的生产状况了,可再通知路由器将本地数据接入以太网,实现真正的远程监控,实现生产车间的远程网络管理化,这是一套实用、高效的生产线管理方案.
Platform: | Size: 969728 | Author: 赖永仲 | Hits:

[Othercan2.0

Description: 各路朋友们,给大家介绍一下,CAN协议的知识,介绍的非常详细有价值-Various friends, to brief you, CAN protocol knowledge, described in great detail the valuable
Platform: | Size: 7556096 | Author: liangliang | Hits:

[SCMc8051f040exampleforcan

Description: CAN1.c and CAN2.c are a simple example of configuring a CAN network to transmit and receive data on a CAN network, and how to move information to and from CAN RAM message objects. Each C8051F040-TB CAN node is configured to send a message when it s P3.7 button is depressed/released, with a 0x11 to indicate the button is pushed, and 0x00 when released. Each node also has a message object configured to receive messages. The C8051 tests the received data and will turn on/off the target board s LED. When one target is loaded with CAN2.c and the other is loaded with CAN1.c, one target board s push-button will control the other target board s LED, establishing a simple control link via the CAN bus and can be observed directly on the target boards.-CAN1.c and CAN2.c are a simple example of configuring a CAN network to transmit and receive data on a CAN network, and how to move information to and from CAN RAM message objects. Each C8051F040-TB CAN node is configured to send a message when it s P3.7 button is depressed/released, with a 0x11 to indicate the button is pushed, and 0x00 when released. Each node also has a message object configured to receive messages. The C8051 tests the received data and will turn on/off the target board s LED. When one target is loaded with CAN2.c and the other is loaded with CAN1.c, one target board s push-button will control the other target board s LED, establishing a simple control link via the CAN bus and can be observed directly on the target boards.
Platform: | Size: 12288 | Author: shendongping | Hits:

[BooksCAN2.0

Description: can2.0协议中文详细说明,包括A.B-Chinese can2.0 detailed description of the agreement, including AB
Platform: | Size: 352256 | Author: wxjia | Hits:

[source in ebookCAN2.0B

Description: CAN meg16 232程序 支持CAN2.0B协议 很好的开发程序-CAN meg16 232 procedures CAN2.0B agreement to support the development of good procedures
Platform: | Size: 100352 | Author: 张健 | Hits:

[VHDL-FPGA-VerilogChapter-8

Description: Verilog编写的CAN通讯程序,通过验证,并支持CAN1.1,CAN2.0b协议。-CAN communication procedures written in Verilog, through validation, and support CAN1.1, CAN2.0b agreement.
Platform: | Size: 687104 | Author: 张跃平 | Hits:

[Education soft systemCAN2BTutorial

Description: CAN2.0 B tutorial - Basic tutorial on CAN Bus 2.0B
Platform: | Size: 116736 | Author: Vijay | Hits:

[SCMcan2.0

Description: 很详尽的can总线的协议知识真正的奉献和付出啊-A very detailed knowledge of the agreement can bus a real dedication and pay ah
Platform: | Size: 162816 | Author: lvxin | Hits:

[Other Embeded programCAN2.0

Description:
Platform: | Size: 352256 | Author: 大菜鸟 | Hits:

[Industry researchCAN2.0

Description: CAN总线协议2.0B,建议大家学习,知道CAN总线相关编程工作.-CAN bus protocol 2.0B, suggest that you learn, that CAN-bus-related programming.
Platform: | Size: 352256 | Author: jcl | Hits:

[SCMLIN_AND_CAN

Description: can2.0&&LIN2.0-协议,适合学习汽车总线的人,不错的选择哦 -can2.0 Agreement
Platform: | Size: 2590720 | Author: 范振 | Hits:

[Other Embeded programCAN2.0B

Description: 一种用于汽车车身控制总线的CAN2.0B协议,对于构建CAN总线的测试系统也有一定的意义-for studying CAN bus
Platform: | Size: 8098816 | Author: 苏醒 | Hits:

[SCMCAN2-can1

Description: LPC1768 通过AD采集外部电压,并通过can2 发送CAN1 接受,显示在LCD 上-AD LPC1768 collected by an external voltage, and sends the accepted via CAN1 can2, is displayed on the LCD
Platform: | Size: 312320 | Author: kenny | Hits:

[Other Embeded programCAN1-and-CAN2-transmition

Description: 在ucosII下的can1与can2的通讯实验。keil建立的工程,-ucosII can1 and can2 transmite .keil
Platform: | Size: 11063296 | Author: xhg | Hits:

[Othercan2.0

Description: 详尽的CAN2.0协议,适合初步接触CAN网络的新人-CAN2.0 detailed agreement, initial contact for new CAN network
Platform: | Size: 352256 | Author: Demon | Hits:

[SCMCAN2

Description: 基于STM32F407的CAN2收发通信。亲测可用。-CAN communication by STM32F407 CAN2,it can send and receive
Platform: | Size: 3817472 | Author: qqwin | Hits:

[SCMCAN2.0规范

Description: 网上很多都是英语的,现在把CAN2.0规范中文版的分享出来,有需要的朋友可以下载下来学习了。(Chinese version of the CAN2.0 specification,)
Platform: | Size: 351232 | Author: qxc | Hits:
« 12 3 4 5 6 7 »

CodeBus www.codebus.net