Welcome![Sign In][Sign Up]
Location:
Search - adc0809 verilog

Search list

[VHDL-FPGA-VerilogADC0809

Description: adc0809数模转换芯片fpga控制程序
Platform: | Size: 57344 | Author: conanhfl | Hits:

[VHDL-FPGA-VerilogADC0832

Description: 用FPGA控制DAC0832,实现锯齿波的产生,里面有详细介绍,有连接方法和程序,还有0832的资料。-FPGA control with DAC0832, saw the emergence of the realization, which is detailed, there are methods and procedures to connect, there are 0832 data.
Platform: | Size: 252928 | Author: ywb | Hits:

[VHDL-FPGA-VerilogURAT_VHDL

Description: FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
Platform: | Size: 238592 | Author: wangzhaohui | Hits:

[Otheradc0809dac0832control

Description: adc0809和dac的共同使用(verilog代码),虽然功能简单,但内容全面,新手原创,共同学习-adc0809 and dac common use (verilog code), although the function of simple, but comprehensive, new original, the common learning
Platform: | Size: 6144 | Author: 山哥 | Hits:

[VHDL-FPGA-Verilogad0809

Description: adc0809 转换,verilog代码-adc0809 conversion, verilog code
Platform: | Size: 377856 | Author: qly | Hits:

[VHDL-FPGA-VerilogVerilogProjects

Description: 在quartus II环境下用Verilog实现了8255, 8253, 8259, 8250, DAC0832, ADC0809等微机接口芯片,硬件设计实验课的作品,有些芯片的功能有所简化,但最基本的功能已实现,有完整的时序仿真波形-In quartus II environment achieved with Verilog 8255, 8253, 8259, 8250, DAC0832, ADC0809 and other computer interface chip, hardware design experiment course of works, some chips have simplified the function, but the most basic functions have been achieved, with complete timing simulation waveform
Platform: | Size: 5405696 | Author: 一招鲜 | Hits:

[VHDL-FPGA-Verilogad0809

Description: ADC0809 verilog-ADC0809 verilog...............................
Platform: | Size: 1024 | Author: Tao | Hits:

[VHDL-FPGA-Verilogverilogadc0809

Description: verilog adc0809控制器FPGA实现,编译通过,系统时钟分频,满足ADC时钟要求。-verilog adc0809 controller FPGA, compiler, system clock frequency to meet the requirements of ADC clock.
Platform: | Size: 344064 | Author: luo | Hits:

[VHDL-FPGA-VerilogADCODE

Description: 用FPGA控制双ADC0809读写,用于双AD热备控制,用verilog实现-FPGA control with dual ADC0809 read and write, hot standby control for double AD, with verilog implementation
Platform: | Size: 11185152 | Author: | Hits:

[VHDL-FPGA-Verilogadc

Description: 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
Platform: | Size: 3072 | Author: 钟雪美 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
Platform: | Size: 349184 | Author: stt | Hits:

[VHDL-FPGA-VerilogADC0809

Description: AD0809芯片的Verilog hdl驱动程序,-AD0809 the Verilog program
Platform: | Size: 1024 | Author: 欧华 | Hits:

[VHDL-FPGA-Verilog64697923ADC0809_VHDL_ctrl

Description: 数模转换控制器ADC0809的verilog代码-the verilog code of ADC0809
Platform: | Size: 4096 | Author: 周励志 | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: DAC0832的Verilog代码,适用于与ADC0809同时学习,效果明显!-DAC0832 Verilog code, applicable at the same time with ADC0809 learning, the effect is obvious!
Platform: | Size: 22528 | Author: 杨开意 | Hits:

[VHDL-FPGA-VerilogAD_OK_auto

Description: ADC0809 FPGA verilog
Platform: | Size: 356352 | Author: 李晶 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809的verilog实现 及仿真的文件 和仿真的波形图-ADC0809 implementation and simulation of verilog files and simulation waveforms
Platform: | Size: 48128 | Author: 林珊 | Hits:

[Otheradc0809

Description: ADC0809转换器的verilog版本,运用在ISE上,直接可用(注意没有考虑频道问题),结果显示在数码管里(十进制)-Verilog version ADC0809 converters, used in the ISE, directly available (note does not consider channel problems), the results are displayed in the digital tube (decimal)
Platform: | Size: 4096 | Author: czz | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 1、用状态机设计A/D转换器ADC0809的采样控制电路,并在数码管上显示转换结果; 2、设置有复位和启动/保持开关,要求 ⑴ 复位开关用来使A/D转换器复位,并做好A/D转换准备; ⑵ 启动/保持开关用来控制A/D转换器开始连续转换或停止转换保持结果,即按一下启动/保持开关,启动A/D转换器开始转换,再按一下启/停开关,停止转换并保持结果。 3、采用Verilog HDL语言设计符合上述功能要求的控制电路。-1, with the state machine design A/D converter ADC0809 sampling control circuit and display the results on the digital conversion 2 is provided with a reset and start/hold switch, reset switch is used to make the request ⑴ A/D converter reset and do A/D conversion ready ⑵ start/hold switch is used to control the A/D converter starts converting or stop the conversion to maintain a continuous result that by clicking Start/hold switch, start the A/D converter to start the conversion, and then Click the start/stop switch stops the conversion and keep the results. 3, using Verilog HDL language designed to meet the functional requirements of the above-mentioned control circuit.
Platform: | Size: 108544 | Author: YINJIE | Hits:

[assembly languageADconversion

Description: Veriloghdl 代码使用ADC0809来进行ad转换,使用verilog hdl程序来进行ad转化-Veriloghdl ad code uses ADC0809 to convert, using the verilog hdl program to ad conversion
Platform: | Size: 10240 | Author: 朱宣同 | Hits:

CodeBus www.codebus.net