Welcome![Sign In][Sign Up]
Location:
Search - FPGA-based stepper motor control

Search list

[Other resourcedianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63314 | Author: 刘嵘 | Hits:

[OtherMotorControlVHDL

Description: 基于FPGA的步进电机控制电路的VHDL语言-FPGA-based stepper motor control circuit of VHDL
Platform: | Size: 1215 | Author: 邓名成 | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63488 | Author: 刘嵘 | Hits:

[VHDL-FPGA-Verilog9.7_DIRIVER_control

Description: 基于Verilog-HDL的硬件电路的实现 9.7 步进电机的控制   9.7.1 步进电机驱动的逻辑符号   9.7.2 步进电机驱动的时序图   9.7.3 步进电机驱动的逻辑框图   9.7.4 计数模块的设计与实现   9.7.5 译码模块的设计与实现   9.7.6 步进电机驱动的Verilog-HDL描述    9.7.7 编译指令-"宏替换`define"的使用方法   9.7.8 编译指令-"时间尺度`timescale"的使用方法   9.7.9 系统任务-"$finish"的使用方法   9.7.10 步进电机驱动的硬件实现 -based on Verilog-HDL hardware Circuit of 9.7 Stepper Motor Control 9.7 .1 stepper motor-driven logic symbols 9.7.2 stepper motor driven map the chronology-- Step 9.7.3 Machine-driven logic diagram 9.7.4 Counting Module Design and Implementation 9.7.5 decoding module design and Implementation 9.7.6 stepper motor driven Verilog-HDL Compiler means locale 9.7.7 Description Order- "macro substitution` define "the use 9.7.8 compiler directives-" The time scale `tim escale "use 9.7.9 system tasks-" $ finish "to use 9.7.10 stepper motor drive hardware
Platform: | Size: 2048 | Author: 宁宁 | Hits:

[SCMMotorControlVHDL

Description: 基于FPGA的步进电机控制电路的VHDL语言-FPGA-based stepper motor control circuit of VHDL
Platform: | Size: 1024 | Author: 邓名成 | Hits:

[SCMep1c6_12_1_2_moto

Description: 基于fpga和sopc的用VHDL语言编写的EDA步进电机驱动控制-FPGA and SOPC based on the use of VHDL language EDA stepper motor driver control
Platform: | Size: 87040 | Author: 多幅撒 | Hits:

[VHDL-FPGA-Verilogfpga

Description: fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用。-FPGA valuable 27 examples, including the stepper motor positioning control system and simulation of VHDL program, ASK modulation and demodulation process and VHDL simulation, TLC7524 procedures interface circuit is very practical.
Platform: | Size: 1278976 | Author: 秦华 | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 本程序设计一个基于FPGA的4相步进电机定位控制系统。由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。-This procedure to design an FPGA-based 4-phase stepper motor positioning control system. Direction set by the stepper motor circuit module, stepper motor stepper movement and positioning control module and the code output modules. The first two modules complete the motor rotation direction setting, exciting way of setting the angle and positioning of the conversion work, after a module for the point of view of the volume of converted output encoding.
Platform: | Size: 165888 | Author: yato_logo | Hits:

[VHDL-FPGA-Verilogbujindianjidingweikongzi

Description: 设计一个基于FPGA的四相步进电机定位控制系统。步进电机是利用数字信号控制的电机装置,步进电机每次接受到一组脉冲数字信号,便旋转一个角度,称为步进角。不同规格的步进电机的步进角不同,与电机内部的线圈数量有关。线圈中的供应电流决定线圈所产生的磁场方向-FPGA-based design of a four-phase stepper motor positioning control system. Stepper motor control using digital signal of the electrical device, stepper motor pulse each time a group of received digital signal, then a point of rotation, known as the stepping angle. Different specifications of the stepper motor step angle is different from the internal coil and the number of motor-related. Coil in the supply of current decisions of the magnetic field generated by coil direction
Platform: | Size: 1024 | Author: 离火 | Hits:

[VHDL-FPGA-VerilogEP1C3_12_1_2_MOTO

Description: 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
Platform: | Size: 1193984 | Author: deadtomb | Hits:

[VHDL-FPGA-VerilogStepperMotorports

Description: 基于FPGA环境下步进电机控制模块程序实现-FPGA-based stepper motor control module Environment Program Realization
Platform: | Size: 1024 | Author: zheshu | Hits:

[Otherbujinji

Description: 步进电机控制实验,用FPGA来控制步进电机转动的整个过程,已通过测试-Stepper motor control experiments, using FPGA to control the stepper motor rotating the whole process has been tested
Platform: | Size: 26624 | Author: 胡习武 | Hits:

[VHDL-FPGA-Verilogcounter

Description: 基于VHDL的计数代码,可用于FPGA芯片对步进电机的控制-Count based on VHDL code for FPGA chips can be used to control stepper motor
Platform: | Size: 1024 | Author: sun | Hits:

[VHDL-FPGA-Verilogstepmotor-paper-

Description: 基于 FPGA 设计的步进电机控制系统 -FPGA-based stepper motor control system design
Platform: | Size: 188416 | Author: wuzhongpeng | Hits:

[VHDL-FPGA-Verilogfpga_verilog_lcd

Description: 基于FPGA的步进电机控制 包括LCD控制,步进电机原理与控制,并附有代码-verilog。-FPGA-based stepper motor control, including LCD control, stepper motor theory and control, together with code-verilog.
Platform: | Size: 594944 | Author: 罗玉明 | Hits:

[VHDL-FPGA-VerilogFPGA50shejipwm

Description: 基于fpga产生四路PWM波形,控制步进电机的运转,采用vhdl语言-Based fpga four PWM waveform is generated to control the operation of stepper motor vhdl language
Platform: | Size: 3432448 | Author: 卢广昌 | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA的步进电机控制的verilog程序源代码-FPGA-based stepper motor control verilog source code
Platform: | Size: 300032 | Author: jiabaoqi | Hits:

[Otherbujindianjikongzhi

Description: 步进电机控制系统,基于FPGA系统,使用VHDL语言-Stepper motor control system, based on FPGA system
Platform: | Size: 19456 | Author: penghongsong | Hits:

[VHDL-FPGA-VerilogStepperMotor_control_follow

Description: 本源码是基于FPGA来控制步进电机运行上位机发出的精确步数,并能够实时跟踪步进电机行进的位置,通过UART接口与上位机进行串口通信。-The source code is based on FPGA to control the stepper motor running the host computer to send the exact number of steps, and can track the location of the stepper motor in real time, through the UART interface and the host computer for serial communication.
Platform: | Size: 4482048 | Author: Chen | Hits:

[Other基于FPGA技术的步进电机控制的设计_杨树兴

Description: 步进电机是一种使用非常广泛且易于精确控制的执行元件,随着微电子技术的发展,其控制方法多种多样。本文介绍了基于FPGA技术的步进电机控制的设计。(Stepper motor is a widely used and easily controlled actuator. With the development of microelectronics, its control methods are varied. This paper introduces the design of stepper motor control based on FPGA technology.)
Platform: | Size: 280576 | Author: 小辉u | Hits:

CodeBus www.codebus.net