Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: SPI_UVM_VIP Download
 Description: Chip verification VIP of SPI protocol, build platform verification code with UVM
 Downloaders recently: [More information of uploader lfzero]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
SPI_UVM_VIP\desktop.ini 142 2016-09-24
SPI_UVM_VIP\doc\desktop.ini 142 2016-09-24
SPI_UVM_VIP\doc\FREE_UVM_SPI_VIPUsersManual.pdf 897861 2016-09-24
SPI_UVM_VIP\doc\SPI_Specifications\desktop.ini 142 2016-09-24
SPI_UVM_VIP\doc\SPI_Specifications\M68HC11RM.pdf 10208118 2016-06-11
SPI_UVM_VIP\doc\SPI_Specifications\OIF-SPI4-2.01v2.pdf 402834 2016-06-11
SPI_UVM_VIP\doc\SPI_Specifications\S12SPIV4.pdf 439185 2016-06-11
SPI_UVM_VIP\rtl\desktop.ini 142 2016-09-24
SPI_UVM_VIP\rtl\SPIxIF.v 19146 2016-08-20
SPI_UVM_VIP\UVM_VIP\cfgTbParams_pkg.sv 3402 2016-09-24
SPI_UVM_VIP\UVM_VIP\desktop.ini 142 2016-09-24
SPI_UVM_VIP\UVM_VIP\dut_wrapper.sv 3485 2016-09-24
SPI_UVM_VIP\UVM_VIP\spiItf.sv 3376 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\agent.sv 3588 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqncLib.sv 5333 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_baudRate.sv 3648 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_masterSlave.sv 3815 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_msbLsbOrder.sv 4246 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_numberOfSlaves.sv 3750 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_phasePolarity.sv 3933 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_sclkFreqGen.sv 3688 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_top.sv 4770 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\cfgSeqnc_topLib.sv 3648 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\desktop.ini 142 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\driver.sv 4130 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\driver_cfg.sv 7845 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\env.sv 3390 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\rstSeqnc.sv 3584 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\seqItem_cfg.sv 4627 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\seqItem_tb.sv 3277 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\sequencer.sv 3197 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb\testMstr_dataSend.sv 3951 2016-09-24
SPI_UVM_VIP\UVM_VIP\tbParams_pkg.svh 3374 2016-09-24
SPI_UVM_VIP\UVM_VIP\top.sv 3433 2016-09-24
SPI_UVM_VIP\UVM_VIP\UserConfigurationFile.txt 8201 2016-09-24
SPI_UVM_VIP\UVM_VIP\userParams_pkg.svh 4565 2016-09-24
SPI_UVM_VIP\UVM_VIP\vipFiles_pkg.svh 3800 2016-09-24
SPI_UVM_VIP\doc\SPI_Specifications 0 2016-09-24
SPI_UVM_VIP\UVM_VIP\tb 0 2016-09-24
SPI_UVM_VIP\doc 0 2016-09-24
SPI_UVM_VIP\rtl 0 2016-09-24
SPI_UVM_VIP\UVM_VIP 0 2016-09-24
SPI_UVM_VIP 0 2020-03-21

CodeBus www.codebus.net