Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: VGAdisplay Download
 Description: The system is written VHDL code for VGA protocol for control can be displayed on the display screen with a resolution of 640* 480, refresh rate of 60Hz and a color picture of color bar
 Downloaders recently: [More information of uploader 孙佳贝]
 To Search:
File list (Check if you may need any files):
 

VGA显示\C\vga\11111
.......\.\...\123.tmp
.......\.\...\Debug\picture16.txt
.......\.\...\.....\vc60.idb
.......\.\...\.....\vc60.pdb
.......\.\...\.....\vga.bsc
.......\.\...\.....\vga.ilk
.......\.\...\.....\vga.obj
.......\.\...\.....\vga.pch
.......\.\...\.....\vga.pdb
.......\.\...\.....\vga.sbr
.......\.\...\picture.bmp
.......\.\...\picture16.dat
.......\.\...\picture16.hex
.......\.\...\picture16.txt
.......\.\...\vga.c
.......\.\...\vga.dsp
.......\.\...\vga.dsw
.......\.\...\vga.ncb
.......\.\...\vga.opt
.......\.\...\vga.plg
.......\quartus\control.vhd
.......\.......\control.vhd.bak
.......\.......\db\logic_util_heursitic.dat
.......\.......\..\prev_cmp_vga.asm.qmsg
.......\.......\..\prev_cmp_vga.fit.qmsg
.......\.......\..\prev_cmp_vga.map.qmsg
.......\.......\..\prev_cmp_vga.qmsg
.......\.......\..\prev_cmp_vga.tan.qmsg
.......\.......\..\vga.db_info
.......\.......\..\vga.ipinfo
.......\.......\..\vga.sim.cvwf
.......\.......\..\vga.sld_design_entry.sci
.......\.......\..\wed.wsf
.......\.......\hex_display.vhd
.......\.......\incremental_db\compiled_partitions\vga.db_info
.......\.......\..............\...................\vga.root_partition.cmp.dfp
.......\.......\..............\...................\vga.root_partition.cmp.kpt
.......\.......\..............\...................\vga.root_partition.cmp.logdb
.......\.......\..............\...................\vga.root_partition.map.dpi
.......\.......\..............\...................\vga.root_partition.map.kpt
.......\.......\..............\README
.......\.......\picture_style.vhd
.......\.......\picture_style.vhd.bak
.......\.......\pixel.vhd
.......\.......\pixel.vhd.bak
.......\.......\RS232_RXD.vhd
.......\.......\RS232_RXD.vhd.bak
.......\.......\sram_latch.vhd
.......\.......\sync.vhd
.......\.......\vga.asm.rpt
.......\.......\vga.cdf
.......\.......\vga.done
.......\.......\vga.dpf
.......\.......\vga.fit.rpt
.......\.......\vga.fit.smsg
.......\.......\vga.fit.summary
.......\.......\vga.flow.rpt
.......\.......\vga.map.rpt
.......\.......\vga.map.summary
.......\.......\vga.pin
.......\.......\vga.pof
.......\.......\vga.qpf
.......\.......\vga.qsf
.......\.......\vga.qsf.bak
.......\.......\vga.sim.rpt
.......\.......\vga.sof
.......\.......\vga.tan.rpt
.......\.......\vga.tan.summary
.......\.......\vga.vhd
.......\.......\vga.vhd.bak
.......\.......\vga.vwf
.......\.......\vga_assignment_defaults.qdf
.......\作业.doc
.......\C\vga\Debug
.......\quartus\incremental_db\compiled_partitions
.......\C\vga
.......\quartus\db
.......\.......\incremental_db
.......\C
.......\quartus
VGA显示
    

CodeBus www.codebus.net