Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: eat-bean-game Download
 Description: Childhood Pacman game has two ghosts catch VGA display
 Downloaders recently: [More information of uploader jing]
 To Search:
File list (Check if you may need any files):
 

吃豆子游戏源代码
................\pacman-hardware
................\...............\.sopc_builder
................\...............\.............\filters.xml
................\...............\.............\install.ptf
................\...............\.............\install2.ptf
................\...............\.............\preferences.xml
................\...............\BUZZER.v
................\...............\KEY_DOWN.v
................\...............\KEY_ESC.v
................\...............\KEY_LEFT.v
................\...............\KEY_OK.v
................\...............\KEY_RIGHT.v
................\...............\KEY_UP.v
................\...............\LED.v
................\...............\PIO_KEY.v
................\...............\PIO_LED.v
................\...............\avoid_shake.bsf
................\...............\avoid_shake.v
................\...............\avoid_shake.v.bak
................\...............\cpu.ocp
................\...............\cpu.sdc
................\...............\cpu.v
................\...............\cpu_bht_ram.mif
................\...............\cpu_ic_tag_ram.mif
................\...............\cpu_jtag_debug_module_sysclk.v
................\...............\cpu_jtag_debug_module_tck.v
................\...............\cpu_jtag_debug_module_wrapper.v
................\...............\cpu_mult_cell.v
................\...............\cpu_oci_test_bench.v
................\...............\cpu_ociram_default_contents.mif
................\...............\cpu_rf_ram_a.mif
................\...............\cpu_rf_ram_b.mif
................\...............\cpu_test_bench.v
................\...............\db
................\...............\..\a_dpfifo_8t21.tdf
................\...............\..\a_fefifo_7cf.tdf
................\...............\..\a_gray2bin_mdb.tdf
................\...............\..\a_graycounter_ggc.tdf
................\...............\..\a_graycounter_hgc.tdf
................\...............\..\a_graycounter_q96.tdf
................\...............\..\add_sub_8ri.tdf
................\...............\..\alt_synch_pipe_0e8.tdf
................\...............\..\alt_synch_pipe_sdb.tdf
................\...............\..\altsyncram_0vb1.tdf
................\...............\..\altsyncram_1rg1.tdf
................\...............\..\altsyncram_3rb1.tdf
................\...............\..\altsyncram_6551.tdf
................\...............\..\altsyncram_9tl1.tdf
................\...............\..\altsyncram_dd03.tdf
................\...............\..\altsyncram_e502.tdf
................\...............\..\altsyncram_kkb1.tdf
................\...............\..\altsyncram_lk81.tdf
................\...............\..\altsyncram_n051.tdf
................\...............\..\altsyncram_ovb1.tdf
................\...............\..\altsyncram_p2f1.tdf
................\...............\..\altsyncram_pkf1.tdf
................\...............\..\altsyncram_q2f1.tdf
................\...............\..\altsyncram_qed1.tdf
................\...............\..\altsyncram_t072.tdf
................\...............\..\altsyncram_u0g1.tdf
................\...............\..\cmpr_736.tdf
................\...............\..\cntr_fjb.tdf
................\...............\..\cntr_rj7.tdf
................\...............\..\dcfifo_bhn1.tdf
................\...............\..\decode_9oa.tdf
................\...............\..\ded_mult_2o81.tdf
................\...............\..\dffpipe_93c.tdf
................\...............\..\dffpipe_ngh.tdf
................\...............\..\dffpipe_qe9.tdf
................\...............\..\dffpipe_re9.tdf
................\...............\..\dpram_5h21.tdf
................\...............\..\logic_util_heursitic.dat
................\...............\..\mult_add_4cr2.tdf
................\...............\..\mult_add_6cr2.tdf
................\...............\..\mux_6kb.tdf
................\...............\..\prev_cmp_sopc1.qmsg
................\...............\..\scfifo_1n21.tdf
................\...............\..\sopc1.amm.cdb
................\.

CodeBus www.codebus.net