Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: bujindianji Download
 Description: FPGA, VHDL language state machine design stepper motor driver.
 Downloaders recently: [More information of uploader 卢宇生]
 To Search:
File list (Check if you may need any files):
 

35实验三十五:状态机控制步进电机
................................\cmp_state.ini
................................\db
................................\..\prev_cmp_state_machine.asm.qmsg
................................\..\prev_cmp_state_machine.fit.qmsg
................................\..\prev_cmp_state_machine.map.qmsg
................................\..\prev_cmp_state_machine.qmsg
................................\..\prev_cmp_state_machine.tan.qmsg
................................\..\state_machine.asm.qmsg
................................\..\state_machine.asm_labs.ddb
................................\..\state_machine.cbx.xml
................................\..\state_machine.cmp.cdb
................................\..\state_machine.cmp.hdb
................................\..\state_machine.cmp.kpt
................................\..\state_machine.cmp.logdb
................................\..\state_machine.cmp.rdb
................................\..\state_machine.cmp.tdb
................................\..\state_machine.cmp0.ddb
................................\..\state_machine.cmp2.ddb
................................\..\state_machine.db_info
................................\..\state_machine.eco.cdb
................................\..\state_machine.fit.qmsg
................................\..\state_machine.hier_info
................................\..\state_machine.hif
................................\..\state_machine.lpc.html
................................\..\state_machine.lpc.rdb
................................\..\state_machine.lpc.txt
................................\..\state_machine.map.cdb
................................\..\state_machine.map.hdb
................................\..\state_machine.map.logdb
................................\..\state_machine.map.qmsg
................................\..\state_machine.pre_map.cdb
................................\..\state_machine.pre_map.hdb
................................\..\state_machine.rtlv.hdb
................................\..\state_machine.rtlv_sg.cdb
................................\..\state_machine.rtlv_sg_swap.cdb
................................\..\state_machine.sgdiff.cdb
................................\..\state_machine.sgdiff.hdb
................................\..\state_machine.sld_design_entry.sci
................................\..\state_machine.sld_design_entry_dsc.sci
................................\..\state_machine.syn_hier_info
................................\..\state_machine.tan.qmsg
................................\..\state_machine.tis_db_list.ddb
................................\..\state_machine.tmw_info
................................\..\state_machine_cmp.qrpt
................................\incremental_db
................................\..............\README
................................\..............\compiled_partitions
................................\..............\...................\state_machine.root_partition.map.kpt
................................\state_machine.asm.rpt
................................\state_machine.cdf
................................\state_machine.done
................................\state_machine.dpf
................................\state_machine.fit.eqn
................................\state_machine.fit.rpt
................................\state_machine.fit.smsg
................................\state_machine.fit.summary
................................\state_machine.flow.rpt
................................\state_machine.map.eqn
................................\state_machine.map.rpt
................................\state_machine.map.summary
................................\state_machine.pin
................................\state_machine.pof
................................\state_machine.qpf
................................\state_machine.qsf
................................\state_machine.qws
................................\state_machine.sof
................................\state_machine.tan.rpt
................................\state_machine.tan.summary
................................\state_machine.vhd

CodeBus www.codebus.net