Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Elevator-controller Download
 Description: A. The design is a six-layer control circuit of the automatic elevators Each floor elevator entrance. Up and down a request to switch, elevator equipped with a passenger to reach the floor request switch Floor with elevator in which instructions and lift mode of operation instructions elevator rise and fall times are 2 seconds 5. The elevator to stop at the stations to request the opening hours for 4 seconds, the closing time of 3 seconds can memory elevator inside and outside the request signal, and in accordance with the order of the rules of operation of the lift response, the response action is complete, clear request signal 7 can detect overload, and has an alarm signal 8. direction priority rule: When the elevator in a rising mode respond only to requests than the elevator location upstairs, under the previous one by one implemented until the last one upstairs request completed, so the higher-level decline the request directly to the downstairs and request the most senior
 Downloaders recently: [More information of uploader beida_fuzhong]
 To Search:
File list (Check if you may need any files):
Elevator controller.doc
    

CodeBus www.codebus.net