Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: 93c Download
 Description: Xilinx spartan 3e development boards of ATMEL 93c Department of class (46 56 66) EEPROM interface program. The EEPROM values ​ ​ can be read out and displayed on the LCD. Read frequency is controlled by the DCM. Read out the results can be automatically set value (55AA or AA55) to compare and verify results and also displayed on the LCD. The switch can select a continuous readout of the entire storage space and organizational model (8bit or 16bit) bytes read button. I own written on the board has passed the tests
 Downloaders recently: [More information of uploader lh2010xa]
 To Search:
File list (Check if you may need any files):
93c\1.wcfg
...\backup\93readandcheck.v
...\clkdiv.v
...\clkdiv_arwz.ucf
...\fuse.xmsgs
...\fuseRelaunch.cmd
...\ipcore_dir\clkdiv.vhd
...\..........\clkdiv.xaw
...\..........\clkdiv_arwz.ucf
...\..........\clkdiv_flist.txt
...\..........\create_clkdiv.tcl
...\..........\xaw2vhdl.log
...\..........\_xmsgs\cg.xmsgs
...\.seconfig\three_i2c.projectmgr
...\.........\three_i2c.xreport
...\.........\top.xreport
...\lcd.v
...\mcs\93read10M.mcs
...\...\93read5M.mcs
...\...\93readcheck5M.mcs
...\tb_93.v
...\three_i2c.gise
...\three_i2c.v
...\three_i2c.xise
...\three_i2c_guide.ncd
...\top.bgn
...\top.bit
...\top.bld
...\top.cmd_log
...\top.drc
...\top.lso
...\top.ncd
...\top.ngc
...\top.ngd
...\top.ngr
...\top.pad
...\top.par
...\top.pcf
...\top.prj
...\top.ptwx
...\top.stx
...\top.syr
...\top.twr
...\top.twx
...\top.ucf
...\top.unroutes
...\top.ut
...\top.v
...\top.xpi
...\top.xst
...\top_bitgen.xwbt
...\top_envsettings.html
...\top_guide.ncd
...\top_map.map
...\top_map.mrp
...\top_map.ncd
...\top_map.ngm
...\top_map.xrpt
...\top_ngdbuild.xrpt
...\top_pad.csv
...\top_pad.txt
...\top_par.xrpt
...\top_summary.html
...\top_summary.xml
...\top_usage.xml
...\top_xst.xrpt
...\usage_statistics_webtalk.html
...\webtalk.log
...\webtalk_pn.xml
...\xaw2verilog.log
...\.lnx_auto_0_xdb\cst.xbcd
...\.st\work\hdllib.ref
...\...\....\vlg31\clkdiv.bin
...\...\....\....D\three__i2c.bin
...\...\....\...5F\lcd.bin
...\...\....\...6F\top.bin
...\_ngo\netlist.lst
...\.xmsgs\bitgen.xmsgs
...\......\map.xmsgs
...\......\ngdbuild.xmsgs
...\......\par.xmsgs
...\......\pn_parser.xmsgs
...\......\trce.xmsgs
...\......\xst.xmsgs
...\xst\dump.xst\top.prj\ngx\notopt
...\...\........\.......\...\opt
...\...\........\.......\ngx
...\ipcore_dir\tmp\_cg
...\xst\dump.xst\top.prj
...\...\work\vlg31
...\...\....\vlg3D
...\...\....\vlg5F
...\...\....\vlg6F
...\ipcore_dir\tmp
...\..........\_xmsgs
...\xst\dump.xst
...\...\projnav.tmp
...\...\work
...\backup
...\ipcore_dir
    

CodeBus www.codebus.net