Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: VGA-character-display-VHDL Download
 Description: VGA character display that can display characters in, introduced the principle of a simple character display, VHDL written
 Downloaders recently: [More information of uploader liuyu6]
 To Search:
File list (Check if you may need any files):
VGA字符显示VHDL程序\vgaChars\bitgen.ut
...................\........\char_rom.edn
...................\........\char_rom.ngo
...................\........\chipio.bit
...................\........\chipIO.bld
...................\........\chipIO.ngc
...................\........\chipIO.ngd
...................\........\chipIO.prj
...................\........\chipIO.srp
...................\........\chipIO.ucf
...................\........\chipIO.xst
...................\........\chipIO_map.map
...................\........\chipIO_map.mrp
...................\........\chipIO_map.ngm
...................\........\clean.bat
...................\........\.onfig\bitgen.ut
...................\........\......\char_rom.edn
...................\........\......\chipIO.prj
...................\........\......\chipIO.ucf
...................\........\......\chipIO.xst
...................\........\......\clean.bat
...................\........\......\make.bat
...................\........\..re\charRomData - Altera.mif
...................\........\....\charRomData.coe
...................\........\docs\src\vgaChars.doc
...................\........\....\vgaChars.pdf
...................\........\make.bat
...................\........\src\chipIO.vhd
...................\........\...\vga_sync.vhd
...................\........\temp\char_rom.ngo
...................\........\....\dummy.txt
...................\........\....\netlist.lst
...................\........\....\work\hdllib.ref
...................\........\....\....\hdpdeps.ref
...................\........\....\....\sub00\vhpl00.vho
...................\........\....\....\.....\vhpl01.vho
...................\........\....\....\.....\vhpl02.vho
...................\........\....\....\.....\vhpl03.vho
...................\使用说明请参看右侧注释====〉〉.txt
...................\vgaChars\temp\dump.xst\chipIO.prj\ngx\notopt
...................\........\....\........\..........\...\opt
...................\........\....\........\..........\ngx
...................\........\....\........\chipIO.prj
...................\........\....\work\sub00
...................\........\docs\src
...................\........\temp\dump.xst
...................\........\....\file graph
...................\........\....\work
...................\........\config
...................\........\core
...................\........\docs
...................\........\src
...................\........\temp
...................\vgaChars
VGA字符显示VHDL程序
    

CodeBus www.codebus.net