Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Traffic-light Download
 Description: traffic light
 Downloaders recently: [More information of uploader jxj20100101]
 To Search:
File list (Check if you may need any files):
交通灯\B1组-交通灯设计.ppt
......\论文.doc
......\各模块程序\计数器.txt
......\..........\Fenwei2.txt
......\..........\分频器1.txt
......\..........\分频器2.txt
......\..........\Fenwei1.txt
......\..........\例化语句.txt
......\..........\数码灯及驱动.txt
......\..........\主控器.txt
......\程序\db\mux_3ec.tdf
......\....\..\traffic.db_info
......\....\..\traffic.cmp.kpt
......\....\..\traffic.map.qmsg
......\....\..\traffic.fit.qmsg
......\....\..\traffic.hif
......\....\..\traffic.psp
......\....\..\mux_jcc.tdf
......\....\..\traffic.cmp.logdb
......\....\..\traffic.cbx.xml
......\....\..\mux_ecc.tdf
......\....\..\traffic.dbp
......\....\..\add_sub_4rh.tdf
......\....\..\add_sub_5rh.tdf
......\....\..\add_sub_2rh.tdf
......\....\..\traffic.hier_info
......\....\..\traffic.rtlv_sg_swap.cdb
......\....\..\traffic.pre_map.hdb
......\....\..\traffic.map.logdb
......\....\..\traffic.pre_map.cdb
......\....\..\traffic.rtlv_sg.cdb
......\....\..\traffic.rtlv.hdb
......\....\..\traffic.sld_design_entry_dsc.sci
......\....\..\traffic.sgdiff.cdb
......\....\..\traffic.sgdiff.hdb
......\....\..\traffic.syn_hier_info
......\....\..\traffic.map.cdb
......\....\..\traffic.asm.qmsg
......\....\..\traffic.tan.qmsg
......\....\..\traffic.map.hdb
......\....\..\traffic.eco.cdb
......\....\..\traffic.cmp.cdb
......\....\..\traffic.signalprobe.cdb
......\....\..\traffic.cmp.tdb
......\....\..\traffic.cmp.rdb
......\....\..\add_sub_6rh.tdf
......\....\..\traffic.cmp.hdb
......\....\..\add_sub_msh.tdf
......\....\..\traffic.cmp0.ddb
......\....\..\traffic.sld_design_entry.sci
......\....\..\add_sub_8rh.tdf
......\....\..\add_sub_3rh.tdf
......\....\..\add_sub_9rh.tdf
......\....\..\add_sub_lsh.tdf
......\....\..\add_sub_osh.tdf
......\....\..\traffic_cmp.qrpt
......\....\..\add_sub_7rh.tdf
......\....\..\add_sub_ish.tdf
......\....\..\wed.zsf
......\....\traffic.qpf
......\....\traffic.qsf
......\....\traffic.vhd
......\....\traffic.map.rpt
......\....\traffic.flow.rpt
......\....\traffic.map.summary
......\....\traffic.pin
......\....\traffic.fit.rpt
......\....\traffic.fit.smsg
......\....\traffic.fit.summary
......\....\traffic.sof
......\....\traffic.pof
......\....\traffic.asm.rpt
......\....\traffic.tan.summary
......\....\traffic.tan.rpt
......\....\traffic.done
......\....\traffic.vwf
......\....\traffic.sim.rpt
......\....\traffic.qws
......\....\traffic.cdf
......\....\traffic.fit.eqn
......\....\traffic.map.eqn
......\....\traffic_assignment_defaults.qdf
......\....\db
......\各模块程序
......\程序
交通灯
    

CodeBus www.codebus.net