Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: reg Download
 Description: Synchronous register (Behavioral representation) - an 8-bit register to store the values of switches SW7-SW0 on the outputs LEDR7-LEDR0 as the key KEY0 is pressed.
 Downloaders recently: [More information of uploader deatheater]
 To Search:
File list (Check if you may need any files):
rej\db\rejestr.asm.qmsg
...\..\rejestr.asm_labs.ddb
...\..\rejestr.cbx.xml
...\..\rejestr.cmp.cdb
...\..\rejestr.cmp.hdb
...\..\rejestr.cmp.kpt
...\..\rejestr.cmp.logdb
...\..\rejestr.cmp.rdb
...\..\rejestr.cmp.tdb
...\..\rejestr.cmp0.ddb
...\..\rejestr.cmp2.ddb
...\..\rejestr.dbp
...\..\rejestr.db_info
...\..\rejestr.eco.cdb
...\..\rejestr.fit.qmsg
...\..\rejestr.hier_info
...\..\rejestr.hif
...\..\rejestr.map.cdb
...\..\rejestr.map.hdb
...\..\rejestr.map.logdb
...\..\rejestr.map.qmsg
...\..\rejestr.pre_map.cdb
...\..\rejestr.pre_map.hdb
...\..\rejestr.psp
...\..\rejestr.rtlv.hdb
...\..\rejestr.rtlv_sg.cdb
...\..\rejestr.rtlv_sg_swap.cdb
...\..\rejestr.sgdiff.cdb
...\..\rejestr.sgdiff.hdb
...\..\rejestr.signalprobe.cdb
...\..\rejestr.sld_design_entry.sci
...\..\rejestr.sld_design_entry_dsc.sci
...\..\rejestr.syn_hier_info
...\..\rejestr.tan.qmsg
...\Rej.bsf
...\Rej.vhd
...\rejestr.asm.rpt
...\rejestr.bdf
...\rejestr.cdf
...\rejestr.done
...\rejestr.fit.rpt
...\rejestr.fit.smsg
...\rejestr.fit.summary
...\rejestr.flow.rpt
...\rejestr.map.rpt
...\rejestr.map.summary
...\rejestr.pin
...\rejestr.pof
...\rejestr.qpf
...\rejestr.qsf
...\rejestr.qsf.bak
...\rejestr.qws
...\rejestr.sof
...\rejestr.tan.rpt
...\rejestr.tan.summary
...\db
rej
    

CodeBus www.codebus.net