Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Digital-LED-display Download
 Description: The microcontroller' s I/O output to a 7-segment LED digital tube, LED digital tube programming in order to make the show 0,1,2,3,4,5,6,7,8,9, all off the 1s , then repeat the process.
 To Search:
File list (Check if you may need any files):
实验3\STARTUP.A51
.....\STARTUP.LST
.....\STARTUP.OBJ
.....\STC12C5A.h
.....\task3
.....\task3.c
.....\task3.hex
.....\task3.lnp
.....\task3.LST
.....\task3.M51
.....\task3.OBJ
.....\task3.Opt
.....\task3.plg
.....\task3.Uv2
.....\task3_Opt.Bak
.....\task3_Uv2.Bak
实验3
    

CodeBus www.codebus.net